在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1052|回复: 0

[求助] 想请教一个DC里multicycle的信号名问题

[复制链接]
发表于 2015-3-23 17:28:45 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
想在DC里写一些set_false_path语句,比如从寄存器A到寄存器B,于是写set_false_path 2 -setup -from A_reg/Q -to B_reg/D.
结果工具报错了,说是B_reg/D找不到,用get_ports语句试验了下,发现每个寄存器的Q端都可以找到,但D端都找不到。又试验了一个组合逻辑单元,比如综合后网表中的某个mux,发现输入输出端都找不到,但如果直接在rtl里把这个mux单元例化,又可以找到了。
于是得出结论,应该是因为综合前,网表还没有生成,所以工具并不知道某个reg的D端是什么信号,但Q端却已经知道了(就是寄存器的名字),所以Q端能找到D端就找不到。实例化mux和写assign c=sel?a:b也是同样的道理。

想请教各位大神,不知我这个理解是否正确,如果要在写constraint语句时想引用某个寄存器的D端,应该怎么写呢
不甚感谢!!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-4 04:12 , Processed in 0.018379 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表