在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1802|回复: 0

[求助] VHDL转化为verilog中process中既有 :=和<= 赋值,怎么转啊???

[复制链接]
发表于 2015-1-16 15:50:56 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
VHDL转化为verilog中process中既有  :=和<=  赋值,怎么转啊???
例以下代码:
process(rst,clk)

variable nkt: std_logic_vector(16 downto 0);

variable nktb: std_logic_vector(16 downto 0);

begin

if rst='1' then

strobe <= '0';

   nk <= (others=>'0');

   u <= B"0100_0000_0000_0000";--0.5

nkt:=B"00110_0000_0000_0000";--0.75

nktb:=B"00110_0000_0000_0000";--0.75

elsif rising_edge(clk) then

   --设置一个变量nktb,先将nkt的值存起来

nktb:=nkt;

   nkt:=nkt-w;

if nkt<0 then

nkt:=nkt+B"0_1000_0000_0000_0000";--负值+1,相当于mod(1)
            nk <=nkt(15 downto 0);

--当nkt<0时,将nkt减去w值之前的值乘以2作为u值。

u <= (nktb(14 downto 0)&'0');--u=2*nk

strobe <= '1';

else

   nk <= nkt(15 downto 0);

strobe <='0';

end if;

end if;

end process;
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-27 21:25 , Processed in 0.050589 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表