在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: caoshangfei

[解决] INCISIV 13.10.001 安装文件和crack破解(NCsim13.1,IES13.1 , NC-verilog13.1)

[复制链接]
发表于 2016-2-3 10:34:51 | 显示全部楼层
非常感谢!!
发表于 2016-2-20 10:49:54 | 显示全部楼层
学习了,谢谢
发表于 2016-2-28 10:18:06 | 显示全部楼层
谢谢分享,好好学习下。
发表于 2016-2-29 23:33:56 | 显示全部楼层
回复 1# caoshangfei


   Good share..but facing problem with bash file
shows error message ": command not found".

May i know how to solve this issue.
发表于 2016-3-5 08:44:40 | 显示全部楼层
#################################################
export INCISIVDIR=/opt/cadence/INCISIV131
export VRST_HOME=/opt/cadence/INCISIV131
export LM_LICENSE_FILE=$INCISIVDIR/share/license/cadence.dat
export SPECMAN_HOME=/opt/cadence/INCISIV131/components/sn
export SPECMAN_DIR=$SPECMAN_HOME/`$SPECMAN_HOME/bin/sn_arch.sh`
export SPECMAN_LICENSE_FILE=$INCISIVDIR/share/license/cadence.dat
export PATH="$PATHINCISIVDIR/bin"
export PATH="$PATHINCISIVDIR/tools/bin"
source /opt/cadence/INCISIV131/env.sh
######################################
那些license没有配置好的可能是破解后环境变量没有设置好,我把我的粘过来,自己修改下路径.卧室了可以的.
发表于 2016-3-5 12:18:19 | 显示全部楼层
文件好大,下载中。。。。。。。。
发表于 2016-3-10 20:52:37 | 显示全部楼层
thanks for sharing !!!
发表于 2016-4-17 13:25:20 | 显示全部楼层
亲测可以破解http://bbs.eetop.cn/thread-444175-1-1.html中所述的IES13.20.002
发表于 2016-5-2 16:26:20 | 显示全部楼层
INCISIV INCISIV
发表于 2016-5-21 14:22:34 | 显示全部楼层
谢谢分享,好好学习下。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-22 04:04 , Processed in 0.022741 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表