在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: caoshangfei

[解决] INCISIV 13.10.001 安装文件和crack破解(NCsim13.1,IES13.1 , NC-verilog13.1)

[复制链接]
发表于 2016-11-8 21:03:43 | 显示全部楼层
好东西,谢谢分享!
发表于 2016-12-27 11:01:16 | 显示全部楼层
xuexiyixia
发表于 2017-1-13 09:20:01 | 显示全部楼层
thx for u share. u are good guy.
发表于 2017-2-14 09:31:13 | 显示全部楼层
谢谢分享
发表于 2017-2-23 23:27:27 | 显示全部楼层
回复 22# lyjren

Incisive_HDL_Simulator 13.10 - Failed
        NC_Verilog_Simulator 13.10 - Failed
        Affirma_NC_Simulator 13.10 - Failed
        Incisive_Verif_Engine 13.10 - Failed
        Incisive_Design_Team_Simulator 13.10 - Failed
        Incisive_Enterprise_Simulator 13.10 - Failed
        Incisive_Enterprise_Verifier 13.10 - Failed

遇到了像上面一样的问题  
解决办法:
   1  正确设置 环境变量  ,我用的是 red hat 5,使用的是 bash  
          修改 /etc/bashrc 文件  (附件中)

  2  检查修改 license/cds-flexlm/ shell 文件的权限   要有 可执行的 权限
  3  lmgrd -c cadence.dat -l cadence.log 即可  看看 cadence.log 档案有无错误

环境变量设置

环境变量设置

bashrc.txt

2.04 KB, 下载次数: 34 , 下载积分: 资产 -2 信元, 下载支出 2 信元

bashrc内容

发表于 2017-3-11 20:15:43 | 显示全部楼层
THANKS!!!
发表于 2017-3-15 05:06:43 | 显示全部楼层
非常感謝~~~~~
发表于 2017-3-23 09:14:16 | 显示全部楼层
先看看,谢谢楼主
发表于 2017-4-10 16:52:13 | 显示全部楼层
谢谢,下载了试下
发表于 2017-5-5 09:14:29 | 显示全部楼层
敢问安装文件是如何解压的?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-22 05:28 , Processed in 0.035903 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表