在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3556|回复: 11

[求助] 时钟分频处理的问题,请大家帮忙看看

[复制链接]
发表于 2014-2-20 16:51:35 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
下面是我时钟部分的代码always@(negedge OSC_CLK or negedge CLR_X) begin
   if(CLR_X==0)
      OSC_CLK2 <= 0;
   else
      OSC_CLK2 <= ~OSC_CLK2;
end
always@(negedge OSC_CLK2 or negedge CLR_X) begin
   if(CLR_X==0)
      OSC_CLK4 <= 0;
   else
      OSC_CLK4 <= ~OSC_CLK4;
end

always@(negedge OSC_CLK4 or negedge CLR_X) begin
   if(CLR_X==0)
      OSC_CLK8 <= 0;
   else
      OSC_CLK8 <= ~OSC_CLK8;
end

always@(*) begin
   if(ALS_enable==1)
      case(ADC_TIME)
      2'b00: CLK = OSC_CLK;
      2'b00: CLK = OSC_CLK2;
      2'b00: CLK = OSC_CLK4;
      2'b00: CLK = OSC_CLK8;
      endcase
   else
      CLK = OSC_CLK;
end

CLK是我实际的工作时钟,由于设计需要,在ALS_enable为1时,CLK需要根据ADC_TIME选择降频后的时钟
ALS_enable是工作中反馈回来的信号,在工作期间会变化;ADC_TIME是配置信息,设定好就不会变了
我想问的是,在综合时,对这几个时钟该怎么约束才是可靠的呢?
我是对OSC_CLK2、OSC_CLK4、OSC_CLK8约束为generate clock
然后OSC_CLK、OSC_CLK2、OSC_CLK4、OSC_CLK8之间设faulse path
不知道有什么不对或需要补充的,请大家指点一下,谢谢
 楼主| 发表于 2014-2-20 18:06:16 | 显示全部楼层
求指点啊,没经验,不知道自己弄的对不对
发表于 2014-2-21 09:19:47 | 显示全部楼层
我觉得应该用计数器做分频器
发表于 2014-2-21 09:36:45 | 显示全部楼层
不推荐使用这种分频方法,

所有分频后时钟基于同一个时钟源较好,比如
源为clk,可以用一个计数器分频得到clk_div2/clk_div4/clk_div8
 楼主| 发表于 2014-2-21 10:29:21 | 显示全部楼层
回复 5# yadog

谢谢你,已修改为计数器分频,请问我的CLK在综合时该怎么约束呢?因为CLK的频率在工作中会变化,不知道怎么约束才合理
 楼主| 发表于 2014-2-21 10:31:34 | 显示全部楼层
回复 4# haimo

谢谢,已修改为计数器分频,能不能说下在综合时如何约束CLK呢?CLK的频率会变化
发表于 2014-2-21 13:12:19 | 显示全部楼层
要是最高频率不是很高的话,就按最高的约束,要是太高,请教高手吧
发表于 2014-2-21 13:49:36 | 显示全部楼层
时钟用最高约束
分频这样写,以二分频为例:
create_generated_clock -name clk_div2 -source [get_ports clk] -divede_by 2 [get_pins U/Q]
U/Q代表clk_div2的输出dff的q端。
发表于 2014-2-21 15:27:57 | 显示全部楼层
回复 1# cjl_long


    LZ的这个分频很高端啊,这种分频有什么好处不,虽然约束,DFT会麻烦点
 楼主| 发表于 2014-2-21 15:30:47 | 显示全部楼层
回复 9# haimo

非常感谢你的细心回答,那对最高频率约束,我用下面的语句对吗?  
set_case_analysis 0 [get_ports ADC_TIME[0]]
set_case_analysis 0 [get_ports ADC_TIME[1]]
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-4 18:26 , Processed in 0.050783 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表