在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3595|回复: 1

[求助] formality使用svf文件的问题

[复制链接]
发表于 2014-2-11 23:28:43 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 似水如烟 于 2014-2-12 09:35 编辑

svf正确读入了,也正确写出了svf.txt的文件,但是在查看.log文件时发现,reg_constant,formality没有识别, 即有关guide_reg_constant部分的内容formality没有加载,导致DC对register的一些优化(removed)行为,在formality中没有被识别出来,在进行RTL文件和网表文件gate.v进行match时出现了很多unmatched,报出的unmatched points提示全部为port。想问一下,通过怎么设置可以让formality可以识别reg_constant。出现的问题就是:在ref(RTL文件)中寄存器的输出端口为0,而impl中寄存器的输出端口为1,这样肯定是没法passing的。这种情况还挺多的。

想请教这种情况应该改进?
发表于 2018-11-18 20:05:32 | 显示全部楼层
学习中。。。。。。。。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-12 16:21 , Processed in 0.015697 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表