在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 6071|回复: 5

[求助] Xilinx ISE 综合 for循环 integer 数组 初始化 问题(求大神指点)

[复制链接]
发表于 2013-8-22 17:00:31 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 hongbutiao 于 2013-8-22 17:01 编辑

代码:
parameter linesize = 450;
integer i[0:63];
reg signed [15:0] SRAM [ramsize-1:0];

always @(posedge(clka) or posedge(rst))
begin
  if (rst) begin
    for(i[0]=linesize*2;i[0]<linesize*3;i[0]=i[0]+1)
      SRAM[i[0]] = 16'd0;
  end
end

问题如下:
ERROR:Xst:885 : For statement is only supported with a signal assignment to a constant in the initialization part.
ERROR:Xst:888 : For statement is only supported when the new step evaluation is an assignment to the signal used in the initialization part.
ERROR:Xst:850 : Unsupported construct.
ERROR:Xst:891 : For Statement is only supported when the new step evaluation is constant increment or decrement of the loop variable.
ERROR:Xst:872 : Unsupported  target.
ERROR:Xst:850 : Unsupported construct.


求大神指点!!!
发表于 2013-8-23 13:39:30 | 显示全部楼层
你把i[0]改成i再试试看,我写过类似的RAM例化语法,用synplify综合是没问题的,
 楼主| 发表于 2013-8-23 13:56:11 | 显示全部楼层
回复 2# eaglelsb

    先谢谢大神!
    改成 i 之后是可以通过的,但是我不明白为什么不可以。

    为什么呢???
发表于 2013-8-23 16:34:28 | 显示全部楼层
可能是verilog对三维数组支持得不好,对二维数组尚可。
VHDL我以前试过三维数组,代码可写得相当简洁。
 楼主| 发表于 2013-8-26 16:33:55 | 显示全部楼层
回复 4# eaglelsb


    谢大神!!!!!!
发表于 2013-8-28 16:46:54 | 显示全部楼层
语法不对的, 在for是循环语句,就是要让他循环起来,这里面肯定就一个变量的,而你给的一个固定的的值是循环不起来的
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-1 11:56 , Processed in 0.022677 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表