在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2594|回复: 0

[求助] 一个用ModelSim仿真lpm_ff的问题

[复制链接]
发表于 2013-7-21 00:21:46 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我是一个FPGA初学者,刚刚接触用Modelsim-Altera 仿真。今天用一个例化的lpm_ff做练习,可是出现了问题。我编写的verilog 代码很简单,如下:
module FDDF(clk,clear,q);

input clk,
clear;


output q;

lpm_ff f1(.enable(1'b1),.clock(clk),.sclr(1'b0),.data(cle ar),.q(q));
defparam f1.LPM_WIDTH=1,
f1.LPM_FFTYPE = "DFF";


endmodule


然后是testbench 代码


`timescale 1 ps/ 1 ps
module FDDF_vlg_tst();
// constants
// general purpose registers
reg eachvec;
// test vector input registers
reg clear;
reg clk;
// wires
wire q;

// assign statements (if any)
FDDF i1 (
// port map - connection between master ports and signals/registers
.clear(clear),
.clk(clk),
.q(q)
);
initial
begin
// code that executes only once
// insert code here --> begin
clk=0;
clear=0;
// --> end
$display("Running testbench");
end
always #25 clk = ~clk ;


endmodule


`timescale 1 ps/ 1 ps
module FDDF_vlg_tst();
// constants
// general purpose registers
reg eachvec;
// test vector input registers
reg clear;
reg clk;
// wires
wire q;

// assign statements (if any)
FDDF i1 (
// port map - connection between master ports and signals/registers
.clear(clear),
.clk(clk),
.q(q)
);
initial
begin
// code that executes only once
// insert code here --> begin
clk=0;
clear=0;
// --> end
$display("Running testbench");
end
always #25 clk = ~clk ;


endmodule


编译都通过了,但用ModelSim-Altera 仿真的时候,报错了
错误代码是:Error: (vsim-10000) C:/Users/Tei.YLIB_TEI-PC/Desktop/DFF3/FDDF.v(10): Unresolved defparam reference to 'LPM_WIDTH' in f1.LPM_WIDTH.
Error: (vsim-10000) C:/Users/Tei.YLIB_TEI-PC/Desktop/DFF3/FDDF.v(11): Unresolved defparam reference to 'LPM_FFTYPE' in f1.LPM_FFTYPE.
我明明在代码里设定好了触发器的参数,但不知道为什么会报错。
各位高手谁能帮忙解答下,万分感激!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-9 09:09 , Processed in 0.019049 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表