在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1588|回复: 2

[讨论] 问一个信号复位的问题,求帮助!

[复制链接]
发表于 2013-7-13 12:48:03 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
always@(posedge clk or negedge RSTn) begin     if(RSTn == 0)
          CLR <= 0;
     else if
     ......
end


请问这个CLR能对其他模块进行有效复位吗?仿真没问题,因为仿真中 x-0被认为是一个下降沿,困惑,请有经验的分享一下,谢过。。。
发表于 2013-7-14 21:05:05 | 显示全部楼层
可以,但要注意这个复位信号是时钟相关的,需要注意在使用这个复位信号的时钟下也有setup和hold的问题
发表于 2013-7-19 14:01:24 | 显示全部楼层
楼主说的就是一个复位信号同步的问题;
always@(posedge clk or negedge rstn)
  begin
      if(!rstn) begin
           clr_pre <= 1'b0;
           clr <= 1'b0;end
      else begin
            clr_pre <= 1'b1;
            clr <= cllr_pre;end
end
这样写的目的是防止rstn的毛刺出现;
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-8 20:56 , Processed in 0.019636 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表