在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2832|回复: 2

[求助] dc综合中高扇出的处理?

[复制链接]
发表于 2013-5-31 09:20:55 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本人刚学DC,问题可能比较浅薄,请大家谅解。
1。对于普通net, 扇出> max_fanout 时,DC 自动插入buffer, 是否需要command 的支持?(例如,插入gate clock,scan 等时,compile -gate_clock -scan)
2。对于gate clock, 扇出>max_fanout, DC 不会插入buffer, 因为功能优先级较高?后端插入时钟树时,如何判断buffer 是否插入? fanout 小的gate clock处插入buffer ,与fanout 大的gate clock 匹配?
3。DC如何优化高扇出的net? a.增加驱动能力,how? b.增加buffer, how? a与b如何平衡?
4。对于clock和reset,在布局前综合是设置set_ideal_nework 和set_dont_touch,如何选择这两个command? 能否用set_false_path?
希望大家赐教!
 楼主| 发表于 2013-5-31 22:27:09 | 显示全部楼层
没人回答啊,555
发表于 2013-6-1 09:26:55 | 显示全部楼层
1. set_max_fanout
2. 后端工具会综合各种情况得出一个判断
3. buffer tree
4. set_ideal_nework,不能用set_false_path
其他基础的知识,可以去看教科书
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-23 12:58 , Processed in 0.018895 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表