在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 7882|回复: 2

[求助] Verilog 中浮点数的表示方法 求大侠指导 $rtoi $bitstoreal 转化后的格式

[复制链接]
发表于 2012-11-27 11:42:12 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 hongbutiao 于 2012-11-27 13:29 编辑

input   [63:0] Input_rsvd;  // double
real               Input_rsvd_1;  // double
wire signed [19:0] ConvertIn_out1;  // sfix20_En19

always @* Input_rsvd_1 <= $bitstoreal(Input_rsvd);
assign ConvertIn_out1 = $rtoi(Input_rsvd_1 * (2**19));


请问上面代码中ConvertIn_out1最后的格式是怎样的?
发表于 2012-11-27 15:29:51 | 显示全部楼层
帮顶了
发表于 2017-5-12 10:48:38 | 显示全部楼层
Conversion Functions

Formal Definition

Conversion functions convert data formats between integer, real and bit representations.

Simplified Syntax

$bitstoreal(bit_number) ;

$itor(integer_number) ;

$realtobits(real_number) ;

$rtoi(real_number) ;

Description

The $bitstoreal function converts a bit pattern to a real number. The $itor function converts an integer to a real number. The $realtobits function converts a real number to its binary a equivalent. The $rtoi function converts a real number to an integer.

Examples

Example 1

reg [31:0] a, result_b ;
integer b, result_I ;
real c, result_r ;
result_r = $bitstoreal(a) ;
result_r = $itor(b) ;
result_b = $realtobits(c) ;
result_I = $rtoi(c) ;

Important Notes

Conversion functions are not synthesizable.
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-1 15:03 , Processed in 0.017664 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表