在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: damonzhao

[讨论] 后端基本概念讨论专用贴

[复制链接]
发表于 2012-11-11 21:46:44 | 显示全部楼层
回复 112# matafeiye


      你们合作的这个design service提供的是 布局布线 的服务吗?还是提供的IP服务?  
他们给的sdf是给你们验收用的吗?
发表于 2012-11-12 20:54:51 | 显示全部楼层
回复 113# sjtusonic


    从netlist一直到流片,一些存储的ip是他们提供的,std是免费的。 他们提供的sdf是用来做时序仿真的,因为完全靠pt是不靠谱的。
发表于 2012-11-12 20:55:47 | 显示全部楼层
回复 113# sjtusonic


    当然也可以说是我们验收用的。
发表于 2012-11-13 09:08:48 | 显示全部楼层
本帖最后由 sjtusonic 于 2012-11-13 09:09 编辑

回复 114# matafeiye


    嗯,我了解了

你们的设计流程跟我们是一样的嘛:
PD设计软件----输出SPEF---》PT----输出SDF---》后仿软件(vcs,nc)

只是前4步是design service做的。


他们给出的sdf和netlist文件应该是给你们做后仿真中的反标用的,不是给pt读入的。

pt读入网表,约束,寄生参数(spef)和时序库,
   输出各种报告和sdf。

sdf在反标后,会覆盖掉仿真模型.v中不准确的延迟信息,进行带延迟的仿真


不知以上说法和你的工作是否符合?
发表于 2012-11-13 22:23:19 | 显示全部楼层
回复 116# sjtusonic


    嗯,同意楼上的。在pt时输入.spef,.hv,.sdc,文件,然后输出.sdf文件用于后仿。
发表于 2012-11-14 00:03:16 | 显示全部楼层
回复 116# sjtusonic


    是的,你说的很对。 但是sdf不也可以用pt读入么?  此时pt读入的sdf与spef有什么不同?
发表于 2012-11-14 08:59:17 | 显示全部楼层
回复 118# matafeiye


    嗯。。。 我查了一下pt是可以read_sdf的
这样的目的是为了验证sdf吧?

尤其是在出sdf的软件不是pt,或者是别人出的sdf的时候?


“此时pt读入的sdf与spef有什么不同?”
——spef内容是寄生的RC(欧姆,法拉),sdf内容是delay(秒)。pt通过自己内部的查找表等算法完成RC->Delay这一步的转化。

我觉得吧,如果给spef,pt会自己算,然后把算出的delay给用户看。
如果给sdf,pt把“算”这一步省了,把sdf中的delay标注上给用户看。
发表于 2012-11-14 13:06:32 | 显示全部楼层
回复 119# sjtusonic


    太谢谢你了,被你这样一梳理,对于后端时序这块我又有了新的认识了。  


    这样的话,此时pt只需要读入sdf,netlist,sdc,以及任意一种类型的库(因为所有的时延参数都已经在sdf中了)就可以完成时序的报告。  
     还有就是你说的pt读入sdf的作用,我觉得可以帮助我们debug,看具体路径时序,时钟skew等,帮助我们推算接口时序有多少余量等,这些比直接使用时序仿真速度快,而且也更加准确省时。  因为对于某一温度的sdf而言,内部有关netdelay与celldelay以及setup/hold检测都有一个最大值,一个最小值,使用时序仿真的时候只能一次读入一个值用以仿真分析,而使用pt的时候可以用最大的值分析setup,最小的值分析hold,相比于时序仿真而言,更加的可靠与准确。
发表于 2012-11-14 13:12:24 | 显示全部楼层
本帖最后由 matafeiye 于 2012-11-18 00:13 编辑

回复 119# sjtusonic
发表于 2012-11-14 16:14:43 | 显示全部楼层
回复 121# matafeiye


    好的,有问题在这里问就行,eetop里牛人很多的,我也是来学习的。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-2 00:29 , Processed in 0.027169 second(s), 5 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表