在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5824|回复: 6

[求助] UVM 语法求助

[复制链接]
发表于 2012-7-10 13:32:15 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x




  1. module test;
  2. import uvm_pkg::*;

  3. uvm_event_pool ep=new("ep");

  4. initial begin
  5. uvm_event e;
  6. e = ep.get("fred");
  7. e = ep.get("george");
  8. uvm_default_table_printer.knobs.reference = 0;
  9. ep.print();

  10. begin
  11. uvm_report_server svr;
  12. svr = _global_reporter.get_report_server();

  13. svr.summarize();

  14. if (svr.get_severity_count(UVM_FATAL) +
  15. svr.get_severity_count(UVM_ERROR) == 0)
  16. $write("** UVM TEST PASSED **\n");
  17. else
  18. $write("!! UVM TEST FAILED !!\n");
  19. end

  20. end
  21. endmodule


复制代码



疑问1:svr = _global_reporter.get_report_server();
_global_reporter 是啥意思啊,文档里查不到
疑问2:uvm_event e;
e = ep.get("fred");
e = ep.get("george");
啥意思啊

谢谢
发表于 2012-7-10 18:55:22 | 显示全部楼层
这个东东的确文档查不到,只能看源代码。不过源代码很难看懂,我也觉得很烦!
发表于 2012-7-10 22:45:01 | 显示全部楼层
第一个的_global_reporter_估计是一个全局的对象,是一个singliton的可能性很大,这里就是告诉你,你的环境里面的报告机制的句柄吧,我是根据后面的代码推导的,具体含义确实需要看底层函数库了。至于第二个,你可以找找event_pool的相关定义。
发表于 2012-7-26 09:51:57 | 显示全部楼层
这个在VMM里面还真没有见过。。
发表于 2012-7-26 10:40:33 | 显示全部楼层
uvm_event e;     声明事件(event)
e = ep.get("fred");   从event_pool中取出“事件(uvm_event)”,如果事件fred不存在,则新建之。
e = ep.get("george");
发表于 2012-7-30 22:39:16 | 显示全部楼层
这个源代码确实有点难看啊!
发表于 2018-11-27 08:55:12 | 显示全部楼层
我就想知道knobs是干啥的
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-2 07:08 , Processed in 0.025187 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表