在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2143|回复: 1

[求助] dc200809 启动出现错误 求助大家

[复制链接]
发表于 2012-5-31 15:42:44 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 dikedisk 于 2012-5-31 15:43 编辑

错误截图

错误截图







不知道上面出现的错误怎么修正

下面是synopsys_dc.setup文件:


set plot_command {lpr -Pcsps}
set text_print_command {lpr -Pcsps}
set text_editor_command {emacs %s &}
set command_log_file "./synopsys-dc_shell.log"
set view_command_log_file "./synopsys-dc_shell-view.log"
set find_converts_name_lists "false"
#/**********************************************************************/
set SynopsysInstall [getenv "SYNOPSYS"]
# You can add to this search path if you have your libraries
# stored somewhere else...
set search_path [list . \
[format "%s%s" $SynopsysInstall /libraries/syn] \
[format "%s%s" $SynopsysInstall /dw/sim_ver] ]
#/* ================================================= */
#/* Define a work library in the current project dir */
#/* to hold temporary files and keep the project area */
#/* uncluttered. Note: You must create a subdirectory */
#/* in your project directory called WORK. */
#/* ================================================= */
define_design_lib WORK -path ./WORK
#/* ================================================= */
#/* General configuration settings. */
#/* ================================================= */
set hdlin_check_no_latch true
set compile_fix_multiple_port_nets true
set hdlin_translate_off_skip_text true
set verilogout_write_components true
set verilogout_architecture_name "structural"
set verilogout_no_tri true
set hdlin_translate_off_skip_text true
set bus_naming_style {%s[%d]}
set target_library [list UofU_Digital_v1_2.db]
set synthetic_library [list dw_foundation.sldb]
set synlib_wait_for_design_license [list "DesignWare-Foundation"]
set link_library [concat \
[concat "*" $target_library] $synthetic_library]
set symbol_library [list generic.sdb]
 楼主| 发表于 2012-6-4 15:32:16 | 显示全部楼层
自己顶一下
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-8 07:58 , Processed in 0.020852 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表