在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3432|回复: 7

[求助] 跨时钟域 不设置set_false_path

[复制链接]
发表于 2016-8-31 22:51:31 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
跨时钟域 不设置set_false_path  DC在综合时会怎么样?如果不设置false path,dc还会去分析时序吗?
发表于 2016-9-1 09:23:34 | 显示全部楼层
用同步器就应该没问题啊
设置false path才不进行时序分析,不设置的都会进行
发表于 2016-9-1 12:33:21 | 显示全部楼层
设置了异步时钟group,group与group之间默认就是false path的,不需要再单独设置。
一个group内的是同步时钟,相互之间需要检查timing,如果有同步时钟之间存在虚假路径,可以设置false path。
发表于 2016-9-4 13:54:57 | 显示全部楼层
有timing path 就会分析
发表于 2019-10-31 16:26:24 | 显示全部楼层


杰克淡定 发表于 2016-9-1 12:33
设置了异步时钟group,group与group之间默认就是false path的,不需要再单独设置。
一个group内的是同步时 ...


虚假路径到底是指那些,有什么特点去识别

发表于 2019-11-1 19:24:36 | 显示全部楼层


litongzhou 发表于 2019-10-31 16:26
虚假路径到底是指那些,有什么特点去识别


只做DC/DFT流程的人员,不要随意自行设置false path。是否为虚假路径,向逻辑设计人员确认,他们清楚自己的设计要求。

发表于 2019-11-5 10:22:11 | 显示全部楼层
set_clock_groups 或者false path ,或者set_clock_sense,否则凡是有路径的都会分析
发表于 2020-1-10 13:47:59 | 显示全部楼层


杰克淡定 发表于 2019-11-1 19:24
只做DC/DFT流程的人员,不要随意自行设置false path。是否为虚假路径,向逻辑设计人员确认,他们清楚自己 ...



明白,谢谢
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-30 22:06 , Processed in 0.024389 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表