在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 11535|回复: 7

[求助] innovus的几个问题

[复制链接]
发表于 2016-9-14 17:40:27 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 zhanggd 于 2016-9-14 17:41 编辑

最近在用innovus,有几个问题想请教一下各位大牛:
1.在用ccopt_design -cts时,而且我又五个clock tree(CLKA~CLKE),我想做CTS时,一个一个的做(假设这些clock tree之间都是false path的),应该怎么办?       就好像在的EDI中的时候,做完一个clock tree的时候,cleanupSpecifyClockTree,然后再做下一个,能否实现?
2.在EDI中,假如我post CTS(clock此时都是propagated)之后发现某一个sink的insertion delay没有达到我的要求,我会这样:
specifyClockTree -update “MacroModel ....”
ckECO  -postCTS
在ccopt flow中有没有类似的实现方法
 楼主| 发表于 2016-9-18 08:22:31 | 显示全部楼层
顶一下
发表于 2016-12-29 14:38:24 | 显示全部楼层
帮顶!
发表于 2016-12-29 15:37:10 | 显示全部楼层
ccopt有个property为opt_ignore,好像可以balance指定的tree。
发表于 2017-3-18 00:17:09 | 显示全部楼层
Thanks
发表于 2018-12-18 16:41:58 | 显示全部楼层
发表于 2019-12-24 16:52:10 | 显示全部楼层
我可以问一下。。。
    CLK时钟树是怎么创建的么?
我的ccopt_design -cts会报错,说是没有时钟树。。。
发表于 2023-7-11 09:21:06 | 显示全部楼层


无奈灬间或 发表于 2019-12-24 16:52
我可以问一下。。。
    CLK时钟树是怎么创建的么?
我的ccopt_design -cts会报错,说是没有时钟树。。。 ...


看一下sdc文件和mcmm设置
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 22:53 , Processed in 0.028700 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表