|
马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。
您需要 登录 才可以下载或查看,没有账号?注册
x
这个IP核是浮点型的
这是IP核生成的模块
module exe (
clock,
data,
result);
input clock;
input [31:0] data;
output [31:0] result;
wire [31:0] sub_wire0;
wire [31:0] result = sub_wire0[31:0];
exe_altfp_exp_alc exe_altfp_exp_alc_component (
.clock (clock),
.data (data),
.result (sub_wire0));
endmodule
这是测试程序
module exe_test;
reg [31:0] h;
reg clock;
wire [31:0] exp;
always #50 clock=~clock;
initial begin
clock=0;h=32'b0;
#100 h=32'b00111111100000000000000000000000;
#100 $finish;
end
exe M1 (
.clock(clock),
.data(h),
.result(exp));
endmodule |
|