在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 10946|回复: 4

[求助] [已解决]STA时,门控时钟违例问题

[复制链接]
发表于 2012-5-24 10:54:05 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 mikiahmikiah 于 2012-5-25 15:26 编辑

无标题.png


Startpoint: ee_interface/eeclk_en_reg
               (rising edge-triggered flip-flop clocked by CLK8)
  Endpoint: ee_interface/U338
               (falling clock gating-check end-point clocked by CLK8')
  Path Group: **clock_gating_default**
  Path Type: min

  Point                                                   Incr       Path
  ------------------------------------------------------------------------------
  clock CLK8 (rise edge)                                 0.000      0.000
  clock network delay (ideal)                            0.000      0.000
  ee_interface/eeclk_en_reg/CK (DFFRHQX1)                0.000      0.000 r
  ee_interface/eeclk_en_reg/Q (DFFRHQX1) <-              0.270      0.270 f
  ee_interface/U339/A (INVX1) <-                         0.000      0.270 f
  ee_interface/U339/Y (INVX1) <-                         0.098      0.368 r
  ee_interface/U338/B (NOR2X1)                           0.000      0.368 r
  data arrival time                                                 0.368

  clock CLK8' (rise edge)                              295.000    295.000
  clock network delay (ideal)                            0.000    295.000
  clock uncertainty                                      0.200    295.200
  ee_interface/U338/A (NOR2X1)                                    295.200 r
  clock gating hold time                                 0.000    295.200
  data required time                                              295.200
  ------------------------------------------------------------------------------
  data required time                                              295.200
  data arrival time                                                -0.368
  ------------------------------------------------------------------------------
  slack (VIOLATED)                                               -294.832

图中白线经过的路径为pt报告中分析的门控时钟的路径。时钟周期为590,请大伙帮着分析下怎样解决这个问题。
发表于 2012-5-24 12:31:47 | 显示全部楼层
这种门控要么set false path,要么改用ICG单元
发表于 2012-5-24 13:49:46 | 显示全部楼层
report里面的clock CLK8' 应该是falling edge 吧?
应该是需要multicycle的。
 楼主| 发表于 2012-5-24 15:28:05 | 显示全部楼层
本帖最后由 mikiahmikiah 于 2012-5-24 15:35 编辑

回复 2# 陈涛


    首先再次谢谢陈哥,怎样改用ICG单元呢,以前没有接触过。。。。下面的代码是否可以在设计中生成ICG单元呢?
#Set clock gating options, max_fanout default is unlimited
set_clock_gating_style -sequential_cell latch \
-positive_edge_logic {integrated} \
-control_point before \
-control_signal scan_enable
#Create a more balanced clock tree by inserting “always enabled” ICGs
set power_cg_all_registers true
set power_remove_redundant_clock_gates true

read_verilog  design.v
current_design top
link
#Insert clock gating
insert_clock_gating
compile
#Generate a report on clock gating inserted
report_clock_gating
发表于 2012-5-25 00:01:02 | 显示全部楼层
就是那几个命令
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-20 02:45 , Processed in 0.029152 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表