在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2273|回复: 7

[求助] 模块实例化遇到点问题,在线等大牛帮助

[复制链接]
发表于 2012-4-14 19:41:09 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x




  1. `timescale 1ns / 1ps
  2. `include "D:/verilog_work/add/Adder_21bits.v"

  3. module add(
  4. //input
  5. ina,inb,inc,
  6. //output
  7. oc,result,choosea,chooseb
  8. );
  9. input [20:0] ina,inb;
  10. input inc ;
  11. output oc;
  12. output [20:0] result,choosea,chooseb;

  13. reg [20:0] result;
  14. reg in_cin = 0 ;

  15. reg [20:0]choosea,chooseb;
  16. wire[20:0]chooseresult;
  17. Adder_21bits add1(.in_a_21bits(choosea),.in_b_21bits(chooseb),
  18. .sum_21bits(chooseresult),.cin(in_cin),.cout(oc));

  19. always @(ina,inb,inc)
  20. begin
  21. if(ina[20] ==0)
  22. begin
  23. choosea = ina;
  24. end
  25. else
  26. begin
  27. choosea = {ina[20],~ina[19:0]+1};
  28. end

  29. if(inb[20] ==0)
  30. begin
  31. chooseb = inb;
  32. end
  33. else
  34. begin
  35. chooseb = {inb[20],~inb[19:0]+1};
  36. end

  37. if(chooseresult[20] ==0)
  38. begin
  39. result = chooseresult;
  40. end
  41. else
  42. begin
  43. result = {chooseresult[20],~chooseresult[19:0]+1};
  44. end

  45. end


  46. endmodule



复制代码



为啥我直接给choosea,chooseb 赋值的时候可以得到正确的结果,这么写就不能了,加法器是正确的已经验证过
发表于 2012-4-14 20:02:55 | 显示全部楼层
把编译的log 发上来。
另外 duv 和 testbench 激励 最好不要放在一个文件里面
 楼主| 发表于 2012-4-14 20:05:25 | 显示全部楼层
发表于 2012-4-14 20:08:16 | 显示全部楼层
有个窗口, 不点变化的那个。
 楼主| 发表于 2012-4-14 20:25:02 | 显示全部楼层



有个窗口, 不点变化的那个。
hsim 发表于 2012-4-14 20:08




    是这个吗
发表于 2012-4-15 07:59:23 | 显示全部楼层
确认算法有问题不 三个都需要在复数时候取反加一么
发表于 2012-4-15 13:38:29 | 显示全部楼层
没有看懂
发表于 2012-4-15 21:26:17 | 显示全部楼层
回复 1# 441307320


    chooseresult
这个信号要添加到这个always块的敏感电平列表中。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-13 20:19 , Processed in 0.055221 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表