在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4593|回复: 5

[求助] VCS/NC仿真对于高阻态的处理??

[复制链接]
发表于 2012-4-9 15:12:22 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
最近在用VCS和NC仿真时,发现仿真软件对于高阻信号都是按照不定态处理,即与高阻相关的信号都变为了不定态。
而我现在在仿的一个SOC的IP的代码中,却把高阻态默认为“1”,导致仿真功能不对
请问大家仿真软件,可不可以将高阻设为“1”??或有什么好的解决方法??
谢谢!!!
发表于 2012-4-9 15:54:51 | 显示全部楼层
高阻态就是高阻态.不可以设为1,也不可以高为0.仿真的时候自有妙处.

高阻态过一次逻辑运算,就变成不定态.

高阻态是仿真中不能忽略的.否则产生问题检查不出来.

如果是在IO上,那么在你理解IO用途的情况下,可以在test bench里面pullup或者pulldown,
或者按设计要求给驱动.
 楼主| 发表于 2012-4-9 16:36:56 | 显示全部楼层
好的,谢谢,理解了
发表于 2012-4-11 00:35:28 | 显示全部楼层
学习了
发表于 2012-4-11 19:34:36 | 显示全部楼层
如果高阻态设置为1,理论上逻辑不应该有错,你应该去查下到底有什么问题
发表于 2012-4-11 19:49:39 | 显示全部楼层
回复 5# xumailster


    同意2#说法,不能设为1 同时a2d谐以及d2a的处理是不一样地建议看下。nanosim 的mix_signal那篇文档
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 10:50 , Processed in 0.022935 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表