在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4343|回复: 15

[求助] 如何对这种类型的信号进行时序约束

[复制链接]
发表于 2011-12-20 09:13:27 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
lck不是由bck产生的,而且只是偶尔变高一下,请问该如何对其(syn_lck)进行时序约束呢?
always @(posedge clk or negedge rstb)
beign
  if (!rstb)  syn_lck <= 1'b0;
  else  syn_lck <= lck;
end
always @(posedge syn_lck or negedge rstb)
begin
  ......
end
发表于 2011-12-20 12:00:41 | 显示全部楼层
这不是系统同步设计...
楼下回答~
发表于 2011-12-20 15:51:56 | 显示全部楼层
约束为clk的衍生时钟吧。
发表于 2011-12-20 16:30:30 | 显示全部楼层
这什么意思呀,这代码要做的事情没有看懂了。
发表于 2011-12-20 16:39:49 | 显示全部楼层
这种design不好。
 楼主| 发表于 2011-12-21 17:55:36 | 显示全部楼层
回复 2# jack888518
您好!这的确不是同步设计,如果是同步设计,应该是
always @(posedge clk or negedge rstb)
beign
  if (!rstb)  syn_lck <= 1'b0;
  else  syn_lck <= lck;
end
always @(posedge clk or negedge rstb)
begin
  if (!rstb)  ...
  else if (syn_lck==1'b1)  ...
end
 楼主| 发表于 2011-12-21 17:57:01 | 显示全部楼层
回复 3# lhy217
您好!那时钟频率是否也约束为与clk一样呢?
 楼主| 发表于 2011-12-21 17:58:07 | 显示全部楼层
回复 4# bunengwang
代码的意思是,也用lck来做时钟。
发表于 2011-12-22 07:55:07 | 显示全部楼层
回复 6# speedUp


    我现在有点不明白了,采用你这种同步方法,那么综合出来的是锁存器吧? 如果采用楼主的方法,那么综合出来的还是触发器,并且时钟频率为低 ?为什么综合出来的锁存器效果要比触发器要好呢??难道是时钟抖动的原因吗啊?
 楼主| 发表于 2011-12-22 17:55:46 | 显示全部楼层
回复 9# shiyinjita
您好!综合出来应该是带使能的D触发器。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 16:12 , Processed in 0.028879 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表