在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4731|回复: 11

[求助] pt 时序分析

[复制链接]
发表于 2011-8-29 14:33:09 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
下面是pt分析的结果图片,有两个问题请教大家。

pt 静态时序分析

pt 静态时序分析

din_pad[5] (in)  这个怎么会出现22.39ns的延时?好奇怪,不知道从何而来?
下面clock network delay (propagated)  1.10ns ,但是我在astro里面 skew analysis 显示的从输入到 instance/u0/artisan_sdp/CLKB(sram10_256) 只有0.3ns,为什么在这里变为1.10ns了?
发表于 2011-8-29 15:43:40 | 显示全部楼层
首先report timing , expanded clock tree , 看整个clock tree delay,
可能有source delay,

report_timing -cap -trans -input  , 看看load/slew特别大么,

再看看sdc,这个port上加了啥, 比如delay , cap ,load 等
发表于 2011-8-29 16:07:54 | 显示全部楼层
是不是没有 set_drive?
 楼主| 发表于 2011-8-29 17:10:19 | 显示全部楼层
IMAGE_292.jpg 回复 2# icfbicfb

谢谢高手啊,果然是cap 很大啊,都不知道这个2.2 是怎么来的。
CLK上也用了这样的 pad ,怎么就没出现这样的情况呢。
发表于 2011-8-29 22:25:15 | 显示全部楼层




    应该是你set_max_tran 弄出来的,看看你的sdc文件。是否在input port上设过。
发表于 2011-8-29 22:25:47 | 显示全部楼层
PDIDGZ 是 普通input pad,没啥特殊的,
看sdc吧, set_input_trans, set_load 等,


clock pad 也可以查的 report_timing -path full_clock_expanded ,
 楼主| 发表于 2011-8-30 09:07:58 | 显示全部楼层
回复 5# ttder


   看了SDC文件,我没有加set_max_tran。
 楼主| 发表于 2011-8-30 09:10:09 | 显示全部楼层
回复 6# icfbicfb


    我没有加set_max_tran  3楼那张图中re_pad 和inpad_re/PAD 的 tran 变为30多了。
发表于 2011-8-30 13:59:06 | 显示全部楼层
学习一下。。。
发表于 2014-7-7 09:01:18 | 显示全部楼层
学习学习!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-14 19:19 , Processed in 0.047823 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表