在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2524|回复: 0

[原创] 除法器

[复制链接]
发表于 2011-7-17 20:38:26 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
`timescale 1ns/1ns
module divider
    (
    input               clk,
    input               rst,
    input       [7:0]   dividend,
    input       [7:0]   divisor,
    input               load,

    output  reg [7:0]   ratio,
    output  reg [7:0]   remainder,
    output  reg         finish
    );

reg     [3:0]   cnt;
reg     [16:0]  dividend_widen;
reg     [7:0]   ratio_shift;
wire    [8:0]   sub;
wire    [16:0]  dividend_shift;
always @ (posedge clk or posedge rst)
begin
    if (rst == 1'b1)
        cnt <= 4'd15;
    else if (load == 1'b1)
        cnt <= 4'd0;
    else
        cnt <= cnt + {3'd0,~(&cnt)};
end

always @ (posedge clk or posedge rst)
begin
    if (rst == 1'b1)
        dividend_widen <= 17'd0;
    else if (load == 1'b1)
        dividend_widen <= {9'd0,dividend};
    else
        dividend_widen <= dividend_shift;
end

assign sub = dividend_widen[16:8] - divisor;
assign dividend_shift = (sub[8] == 1'b0)? {sub[7:0],dividend_widen[7:0],1'b0}: {dividend_widen[15:0],1'b0};

always @ (posedge clk or posedge rst)
begin
    if (rst == 1'b1)
        ratio_shift <= 8'd0;
    else
        ratio_shift <= {ratio_shift[6:0],~sub[8]};
end

always @ (posedge clk or posedge rst)
begin
    if (rst == 1'b1)
        ratio <= 8'd0;
    else if (cnt == 4'd9)
        ratio <= ratio_shift;
    else ;
end

always @ (posedge clk or posedge rst)
begin
    if (rst == 1'b1)
        remainder <= 8'd0;
    else if (cnt == 4'd9)
        remainder <= dividend_widen[16:9];
    else ;
end

always @ (posedge clk or posedge rst)
begin
    if (rst == 1'b1)
        finish <= 1'b0;
    else if (cnt == 4'd9)
        finish <= 1'b1;
    else
        finish <= 1'b0;
end

endmodule
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 20:41 , Processed in 0.017358 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表