在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 13802|回复: 12

[讨论] verilog中generate的用法(genvar)

[复制链接]
发表于 2010-9-10 09:35:32 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
有没有见过这种用法的
wire [1:0] a, b, c;
  
   parameter PW = 2;
  
  //assign c[1:1] = a ^ b;
  
  generate
genvar i;
      for(i=0; i <= 1;i = i+1)begin : geniiii
         assign c[ i : i ]
     = (  (a ^ ( (b /*+ i[PW-1:0]*/) & {PW{1'b1}} ) ) == {1'b1, {PW-1{1'b0}}}   );
     end
  endgenerate


赋值语句中i[PW-1:0],i作为genvar定义变量,还能这样用?ise无法综合。
发表于 2010-9-10 09:57:58 | 显示全部楼层
将 genvar i 放到 generate 前面
 楼主| 发表于 2010-9-10 11:16:31 | 显示全部楼层
不管是放到前面还是里面,ise都不能通过,像上面代码一样屏蔽i[PW-1:0]可以通过ise
发表于 2010-9-10 15:06:35 | 显示全部楼层
丁。。。。。。。。。。。。。。
发表于 2010-9-10 15:07:14 | 显示全部楼层
。。。。。。。。。。。。。
发表于 2010-9-14 01:22:50 | 显示全部楼层
这个看过,应该可以综合,你查查资料吧
发表于 2010-9-14 03:29:03 | 显示全部楼层
这个电路使用SYnplify综合没有问题,XST对综合的语法检查太严格了,建议给generate起一个名字试一试,genvar放在前面
发表于 2010-9-17 17:13:05 | 显示全部楼层
综合的代码还是只用简单的rtl级风格吧,你用这些语言你能知道综合出来的东西是啥啊...性能如何保证..
发表于 2010-9-17 17:14:10 | 显示全部楼层
这个主要用在TESTBENTCH中的
发表于 2010-10-18 16:05:00 | 显示全部楼层
看看。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-12 01:16 , Processed in 0.037776 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表