在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2835|回复: 0

[求助] 请教SystemVerilog中Interface的modport结合generate的用法

[复制链接]
发表于 2016-5-28 23:01:30 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
考虑这样一个interface:



  1. interface test_interface #(
  2.     parameter MODP_CNT = 3
  3. );
  4.     wire[MODP_CNT-1:0][3:0] portsig_array;
  5.     genvar iport;
  6.     generate
  7.         for (iport = 0; iport < MODP_CNT; iport++) begin:MODPORT_GEN
  8.             wire[3:0] portsig = portsig_array[iport];
  9.             modport testport(output portsig);
  10.         end
  11.     endgenerate
  12. endinterface


复制代码


该代码可通过modelsim和quartusII的编译,但因为其中的modport在generate块中声明,在想引用该modport的module中却不知以何种语法引用,试过类似verilog语法的



  1. test_interface.MODPORT_GEN[0].testport


复制代码
,但很不幸的无法编译通过。不知是否有合适的语法可以引用呢?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 14:30 , Processed in 0.019301 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表