在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1471|回复: 0

[求助] 求大神指点!有没有人遇到过TA-152的这个error?0 source latency是应用在那里了?

[复制链接]
发表于 2016-6-21 14:00:11 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
这个error说的是generate clock与master clock之间存在的error,
那么zero source latency到底指的是什么?
介绍说是这个error会影响optDesign、postCTS之后。
但是我查了好多条path都没有看到source latency为0的情况。。。
求大神指点!!!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-2 17:03 , Processed in 0.032727 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表