在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: flywb

[求助] 请问大家VerilogA与verilog AMS有何区别

[复制链接]
发表于 2014-9-22 08:42:35 | 显示全部楼层
这个意思是verilog-AMS是兼容Verilog-A的了,是吧,如果我想学习,就可以直接学习Verilog-AMS了~~是不是这样子的呢
发表于 2014-10-16 01:01:44 | 显示全部楼层
VerilogAMS用spectre可以仿真么?
发表于 2015-1-4 15:36:00 | 显示全部楼层
同问,AMScadence支持仿真吗?有没有大神给个详细的解答呢?
发表于 2015-1-4 18:25:59 | 显示全部楼层
顶起,同问
发表于 2015-2-1 15:09:44 | 显示全部楼层
随着模数混合越来越强,后者的编写意义会更大一些。
发表于 2015-2-27 03:31:22 | 显示全部楼层
回复 1# flywb

感谢
发表于 2015-2-27 08:56:47 | 显示全部楼层
学习了,谢谢
发表于 2015-2-27 12:25:19 | 显示全部楼层
回复 43# 师大老六六

verilog-a直接用spectre工具仿真就行;verilog-ams不能用spectre仿真,需要使用cadence环境下的AMS仿真工具创建configuration进行仿真。
发表于 2015-4-8 23:06:23 | 显示全部楼层
多谢三楼的回答
发表于 2015-4-27 15:49:33 | 显示全部楼层
多谢三楼,也盼大神解答后面问题
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-13 20:41 , Processed in 0.027897 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表