在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: flywb

[求助] 请问大家VerilogA与verilog AMS有何区别

[复制链接]
发表于 2012-7-30 18:28:58 | 显示全部楼层
这个问题好像还是没有讲清楚,求高手!
发表于 2012-7-30 20:10:40 | 显示全部楼层
回复 3# icetop


    请问你是用ams designer仿吗?
发表于 2012-9-5 19:03:27 | 显示全部楼层
那就用verilogAMS
发表于 2012-11-22 17:10:51 | 显示全部楼层
多学习Vericlogams是很有用的,学习中
发表于 2012-11-26 16:32:11 | 显示全部楼层
Thanks for sharing
发表于 2013-4-27 07:12:54 | 显示全部楼层
感謝提供寶貴的資訊
发表于 2013-5-8 15:48:11 | 显示全部楼层
学习verilog-a超有用
发表于 2013-5-28 11:35:19 | 显示全部楼层
对Verilog-A已经有些了解,打算学习Verilog-AMS了
发表于 2013-7-12 07:36:49 | 显示全部楼层
大多数的仿真器现在都支持verilog-A
但是对于数字信号控制的模拟器件 必须用verilog-ams仿真 现在还没有几个软件支持
发表于 2013-7-12 12:06:00 | 显示全部楼层
verilog-a 是給 analog 仿真的, 輸出電壓電流視連續性的
verilog-d 描述 digital circuit, 輸出為 1或0
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-14 00:16 , Processed in 0.027993 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表