在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 45865|回复: 65

[求助] 请问大家VerilogA与verilog AMS有何区别

[复制链接]
发表于 2010-8-23 17:09:58 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
刚开始学这个,有点疑问,谢谢大家帮忙~~
发表于 2010-8-23 20:34:19 | 显示全部楼层
等待解答
发表于 2010-8-23 22:37:42 | 显示全部楼层
Verilog-A : only support the behavior model for analog design
Verilog-AMS:  verilog-D + verilog-A + mixed-signal model  => refer: http://www.vhdl.org/verilog-ams/
 楼主| 发表于 2010-8-24 09:41:19 | 显示全部楼层
3# icetop
谢谢!!
发表于 2010-8-24 16:46:11 | 显示全部楼层
受益~~~~~~
发表于 2010-8-31 09:04:36 | 显示全部楼层
各种verilogA的例子
发表于 2010-9-10 13:28:33 | 显示全部楼层
感謝分享喔..........
发表于 2010-9-10 14:04:02 | 显示全部楼层
建议用verilogAMS的语句写新东西

估计你有veriloga更新可能少了。现在cadence用veriloga仿真都在提示某某指令verilgams不支持,建议换成verilogams支持的某某指令。
发表于 2010-9-21 14:30:04 | 显示全部楼层
hao dong xo
发表于 2010-9-21 22:20:36 | 显示全部楼层
谢谢3楼的
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-12 21:54 , Processed in 0.029368 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表