在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: flywb

[求助] 请问大家VerilogA与verilog AMS有何区别

[复制链接]
发表于 2011-8-29 21:58:52 | 显示全部楼层
VerilogAMS用spectre可以仿真么?
发表于 2012-2-26 12:44:10 | 显示全部楼层
谢谢 三楼大侠  让我找到 资源了
发表于 2012-3-20 10:58:21 | 显示全部楼层
路过,学习了
发表于 2012-3-26 22:10:19 | 显示全部楼层
thansk very much
发表于 2012-4-22 11:29:28 | 显示全部楼层
这个问题好像没有说明白。verilog-a不是verilog-ams子集吗?
语法不支持应该是升级的原因吧?
发表于 2012-5-10 17:46:58 | 显示全部楼层
发表于 2012-5-10 17:53:00 | 显示全部楼层
“Verilog-AMS HDL consists of the complete IEEE std 1364-2005 Verilog HDL specification, an analog equivalent for describing analog systems (also referred to as Verilog-A as described in Annex C), and extensions to both for specifying the full Verilog-AMS HDL.”

from Verilog-AMS Language Reference Manual
发表于 2012-5-12 13:30:17 | 显示全部楼层
cadence仿真现在还是多用AMS吧。
发表于 2012-5-12 23:33:39 | 显示全部楼层
学习了~
发表于 2012-5-13 09:19:43 | 显示全部楼层
verilogA更通用些,而verilog_AMS更适合cadence的AMS仿真环境,AMS数模混合仿真环境对于后者解析速度更快更准。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-14 00:29 , Processed in 0.026083 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表