在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 7096|回复: 7

[求助] 求指正verilog测试代码错误

[复制链接]
发表于 2010-5-15 13:53:42 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
verilog代码如下:

`timescale   10ns/1ns
`define   period   1
module debounce_tb;
    reg clk,rst,key_in;
    wire key_out;
   
    always   #`period   clk=~clk;
   
    initial
       begin
           clk=0;
           rst=1;
           
           #5   rst=0;
           #10   rst=1;
           
           #10   key_in=1;
           #100000   key_in=0;
           
           #100   key_in=1;
           #600000   key_in=0;
           
           #10000   $stop;
       end
      
   debounce_key dbk(clk,rst,key_in,key_out);
   
   $monitor($time,,,"clk=%b,rst=%b,key_in=%b,key_out=%b",clk,rst,key_in,key_out);
   
endmodule   


用modelsim编译时提示一个错误Error: D:/Program Files/modelsim/examples/debounce_tb.v(29): near "$monitor": syntax error, unexpected "SYSTEM_IDENTIFIER"

看了半天感觉没错误啊,求高人点拨~~~
发表于 2010-5-15 16:59:29 | 显示全部楼层
$monitor不能单独写
需要放在begin end块里面
你需要在initial begin ... end的语句块里面写这句话
 楼主| 发表于 2010-5-15 21:28:11 | 显示全部楼层

多谢了,弄了一个小时,可能眼看花了,看来基础还是不扎实啊~~~一定要好好学习
发表于 2010-5-16 15:02:59 | 显示全部楼层
Thanks a lot !!!!!!!!!
发表于 2010-5-16 23:45:44 | 显示全部楼层
学习了
发表于 2010-6-21 23:28:42 | 显示全部楼层
学到了。。。
发表于 2010-10-23 08:55:24 | 显示全部楼层
学习了
发表于 2010-10-25 12:01:34 | 显示全部楼层
学习下
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-10 13:04 , Processed in 0.053034 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表