在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 7964|回复: 7

Help! Synopsys VCS problem

[复制链接]
发表于 2009-7-11 10:03:50 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
I just installed the VCS2008.09 on my box which running CentOS 4.7 64bit Linux.
It seems that the license is O.K.  But some other problems occur, which is shown in the following:
What might be the problem with my settings?  Any advice? Thanks in advance.

The demo file is typical counter.v.
The output is :


***** Warning: ACC/CLI capabilities have been enabled for the entire design.
      For faster performance enable module specific capability in pli.tab file
Parsing design file 'test_count.v'
Parsing design file 'count.v'
Top Level Modules:
       test_count
No TimeScale specified
Starting vcs inline pass...
1 module and 0 UDP read.
recompiling module test_count because:
        Some compilation options have been changed.
make: *** No rule to make target `rmapats.m', needed by `rmapats_mop.o'.  Stop.
Make exited with status 2
cpu time: .113 seconds to compile + .017 seconds to link


The Makefile locates in ./csrc
------------------------------------------------------------------------------------------------------------------
# Makefile generated by VCS to build your model
# This file may be modified; VCS will not overwrite it unless -Mupdate is used

# define default verilog source directory
VSRC=..

# Override TARGET_ARCH
TARGET_ARCH=

# Note: if make gives you errors about include, either get gmake, or
# replace the following line with the contents of the file filelist,
# EACH TIME IT CHANGES
# included file defines OBJS, and is automatically generated by vcs
include filelist

# This file is automatically generated by VCS. Any changes you make to it
# will be overwritten the next time VCS is run
VCS_LIBEXT=
XTRN_OBJS=

VCS_OBJS =5NrI_d.o 5NrIB_d.o wx0S_1_d.o rmapats_mop.o rmapats.o SIM_l.o

OBJS=$(VCS_OBJS) $(XTRN_OBJS)


# Choose name of executable
PRODUCTBASE=$(VSRC)/simv

PRODUCT=$(PRODUCTBASE)

# Product timestamp file. If product is newer than this one,
# we will also re-link the product.
PRODUCT_TIMESTAMP=product_timestamp

# Path to runtime library
CMODLIB=
RUNTIME=/home/xxxxx/EDA/SYNOPSYS/VCS/A-2008.09/linux/lib/libvcsnew$(VCS_LIBEXT).so

# Select your favorite compiler

# Linux:
VCS_CC=gcc

# Loader
LD=g++
# Loader Flags
LDFLAGS=-m32  

# C run time startup
CRT0=
# C run time startup
CRTN=
# Machine specific libraries
SYSLIBS=-ldl -lm  -lc -ldl

# Default defines
SHELL=/bin/sh

VCSTMPSPECARG=
VCSTMPSPECENV=
# NOTE: if you have little space in /tmp, but plenty in /foo,
#and you are using gcc, uncomment the next line
#VCSTMPSPECENV=TMPDIR=/foo

TMPSPECARG=$(VCSTMPSPECARG)
TMPSPECENV=$(VCSTMPSPECENV)
CC=$(TMPSPECENV) $(VCS_CC) $(TMPSPECARG)

# C flags for compilation
CFLAGS= -pipe -m32 -O -I/home/xxxxx/EDA/SYNOPSYS/VCS/A-2008.09/include   

# Partial linking
LD_PARTIAL=ld -r -o
LIBS=/home/xxxxx/EDA/SYNOPSYS/VCS/A-2008.09/linux/lib/libvirsim.a
DOTLIBS=/home/xxxxx/EDA/SYNOPSYS/VCS/A-2008.09/linux/lib/libvirsim.a

product : $(PRODUCT_TIMESTAMP)
    @echo $(PRODUCT) up to date

objects : $(OBJS)

rmapats_mop.o: rmapats.m
    @$(VCS_HOME)/bin/cgmop -gen_obj rmapats.m rmapats_mop.o  

clean   :
    rm -f $(VCS_OBJS)

clobber : clean
    rm -f $(PRODUCT) $(PRODUCT_TIMESTAMP)

$(PRODUCT_TIMESTAMP) : $(PRODUCT)
    -if [ -x $(PRODUCT) ]; then chmod -x $(PRODUCT); fi
    $(LD) $(CRT0) -o $(PRODUCT) $(LDFLAGS) $(OBJS) $(LIBS)   $(CMODLIB) $(RUNTIME)     $(SYSLIBS) $(LINK_TB) $(CRTN)  
    @touch $(PRODUCT_TIMESTAMP)

$(PRODUCT) : $(OBJS) $(DOTLIBS) $(CMODLIB)  $(RUNTIME)
    @touch $(PRODUCT)
发表于 2009-7-11 19:16:56 | 显示全部楼层
$(PRODUCT) : $(OBJS) $(DOTLIBS) $(CMODLIB)  $(RUNTIME)
    @touch $(PRODUCT)
 楼主| 发表于 2009-7-12 01:27:39 | 显示全部楼层
啥意思?我觉得VCS无缘无故的编译一个不存在的文件,可能是中间代码运行错误导致,是不是这个版本的VCS对64bit的CentOS 4.7版本支持不好,仅对Redhat 兼容???
 楼主| 发表于 2009-7-12 14:34:42 | 显示全部楼层

Problem solved

问题的确出在64bit的系统上,同样是CentOS,32bit的就没有这个问题,一切正常,这个是在虚拟机下做的测试,实际的系统应该也可以
发表于 2011-1-22 16:08:16 | 显示全部楼层
好东西 非常有用
发表于 2011-3-14 14:06:46 | 显示全部楼层
发表于 2011-8-1 13:25:58 | 显示全部楼层
学习学习,努力学习
发表于 2011-8-31 10:44:05 | 显示全部楼层
good!!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-3 02:52 , Processed in 0.030939 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表