在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 7752|回复: 15

[求助]DC中综合关于DesignWare的问题

[复制链接]
发表于 2009-4-19 10:59:06 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
最近自己装了一个DC2007.12,在做综合的时候一般情况下都没有什么问题,但是如果代码中出现了加1的情况就会报错。
错误具体就是:the architecture of 'rpl' of DW01_add cannot be found.
我做了试验,下面的代码会报错:
module (clk, reset, out);
input clk;
input reset;
output[7:0] out;
always @(posedge clk or negedge reset)
if(~reset)
  out <= 0;
else
  out <= out + 1'b1; //我写1, 8'h01, 8'b00000001等都会出现同样的错误。
endmodule

而下面的代码则不会出现上面的错误
module (clk, reset, out);
input clk;
input reset;
output[7:0] out;
always @(posedge clk or negedge reset)
if(~reset)
  out <= 0;
else
  out <= out + 8'b00000011; //仅仅是这个数字不一样。
endmodule

我感觉上面的不同就是第一采用了加1的加法器,而第二个采用的是普通的加法器。但是,我不明白,这怎么就能错了呢?

请大虾们不吝赐教!!!
感谢!!
 楼主| 发表于 2009-4-19 11:01:44 | 显示全部楼层
自己顶一个!!
发表于 2009-4-19 19:48:48 | 显示全部楼层
没见过你这种情况的,我也是用的一样版本的DC,但是没有问题.
发表于 2009-5-4 20:41:27 | 显示全部楼层
我也遇到相似的问题,解决没呢?
发表于 2009-5-5 20:42:46 | 显示全部楼层
用 which dc_shell-t 检查一下
看返回的dc_shell-t 路径当中是否有双下划线在一起 //
eg /usr/synopsys/ /dc2007/bin/dc_shell-t

你在用dc_shell-t 的时候直接调用dc_shell-t绝对路径
eg /usr/synopsys/dc_2007/bin/dc_shell-t -f dc_script.tcl

dc_script.tcl 是运行dc 的 脚本,
直接调用dc_shell-t绝对路径 我的dw 库没有出错
如果有问题, 可以联系我
xiantongma#gmail.com
 楼主| 发表于 2009-5-17 10:22:34 | 显示全部楼层
谢谢ls的兄弟

但是我按照你的方法试了,确实也发现以前定义路径变量的时候出现了双\\的问题

但是改正之后,问题依然存在。

还请再赐教!!
发表于 2009-5-27 14:01:30 | 显示全部楼层
把designware 路径加入
 楼主| 发表于 2009-6-14 10:39:12 | 显示全部楼层
按照xiantongma兄弟的方法做完之后,重启机器就可以了
谢谢大家乐
发表于 2009-11-13 14:08:27 | 显示全部楼层
学习了,顶一个
发表于 2010-6-30 23:49:15 | 显示全部楼层
好东西,谢谢楼主分析啊
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 06:07 , Processed in 0.032271 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表