在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (87) |订阅

IC验证讨论 今日: 2 |主题: 6542|排名: 27 

[原创] 新的工具语言Gv attachment  ...23 hemm2000 2011-1-17 228323 xcykii 2011-7-25 20:08
[原创] 同步接口与异步接口约束 fengzhepianzhou 2011-10-17 38251 stara 2014-9-16 09:36
[原创] 华为面试  ...23 huawei007 2010-10-25 208253 lingmufeng 2011-6-18 18:31
[原创] Perl , Skill , tcl/tck , csh  ...23 vettle 2009-12-24 277960 xxtop 2011-11-22 18:56
[原创] 急问。VCS怎么加载SDF文件 nicholas08 2011-12-12 97810 starrymorn 2015-2-11 10:16
[原创] modelsim后仿 和 VCS后仿 不一致 问题 请教  ...2 sh_0119 2010-9-30 147711 zz1514 2011-12-17 20:40
[原创] 断言可以写在verilog的可综合代码中吗 ?  ...2 american007 2019-5-17 117497 炒鸡屈服者 2023-10-8 16:20
[原创] Verilog超详细教程-北京大学于敦山 attachment  ...2 ilovepand 2018-10-7 137468 库小里orz 2022-3-15 10:09
[原创] IC验证工程师如何写专利  ...2 litterstrong 2015-7-1 127302 zuiqiangzhe 2021-5-19 10:44
[原创] UVM Cookbook 2018 IEEE 1800.2 UVM Standard (add Library and examples Code ) attachment  ...23 fancyhana 2018-9-11 287152 nidiya 2020-8-12 17:57
[原创] sed和awk的简单学习笔记  ...2 lshj98115 2012-12-13 127097 wawejin 2017-11-6 11:01
[原创] UVM学习 基础篇(二) attach_img digest  ...2 wenhuajianyuan 2021-3-7 137019 zhanpeng722 2021-6-10 21:11
[原创] 请教uvm的多进程设置?  ...23 atlandis 2017-10-30 217001 atlandis 2017-11-25 16:48
[原创] Trial Run INCISIVE SOCV Kit attach_img  ...2 niulinux 2014-7-24 176791 Desingman 2017-10-22 17:45
[原创] 致力于验证工作的朋友们请加入139561949 yanyanwa1021 2011-2-16 46871 cxhgdut 2011-3-7 20:21
[原创] ovm里面的信号可以加到verdi里面看波形吗?  ...2 ssss1983 2011-12-22 126853 zhangjunxf 2017-1-7 16:20
[原创] 安装cadence IC610的严重问题 wanglixu2005 2011-1-24 46730 zzjic 2013-4-11 20:51
[原创] Calibre(SVRF) attachment  ...2345 xiao_sd 2023-5-25 496678 lnxmj 2024-4-27 20:23
[原创] verilog if判断条件有关于未知值x的判断 xuhaoee 2013-12-28 76617 feiying_cq 2013-12-30 21:51
[原创] 关于uvm report机制 hbhbts 2014-5-30 46443 mianyang12345 2017-12-16 00:09
[原创] 个人工作的一些感悟 digest  ...2 不想起的猪 2016-9-20 176400 zzq285868299 2019-5-27 16:17
[原创] 说来惭愧,小弟做验证,用的是SV,但什么UVM.OVM之类从来没用过  ...2 alexztenuaa 2014-5-17 106251 naonaoli 2018-5-9 16:56
[原创] 请问UVM的DPI是否可以调用动态链接库.so文件? attach_img  ...2 atlandis 2017-7-17 116107 qsh123_123 2022-4-15 19:39
[原创] `vmm_test_begin, `vmm_test_end? dolphinfly 2009-12-17 76001 tcp133 2012-11-8 16:03
[原创] UVM中仿真器报错not registered with the factory 莽野孤狼 2017-12-17 45992 gerry1512 2022-9-12 16:46
[原创] [求助]Modelsim6.5仿真问题  ...2 dft2009 2009-12-21 165998 thu_zgy 2013-12-27 15:43
[原创] 介绍混合信号测试方案 attachment  ...2 gangersun 2011-5-9 175858 chen600 2017-10-19 17:24
[原创] formality的不确定性 fengzhepianzhou 2011-10-16 85752 chenzhao.ee 2012-2-16 13:41
[原创] 数组的功能覆盖率的采样 新人帖  ...2 yueyuedong 2021-7-10 135759 lsadds 2021-12-7 17:11
[原创] uvm 编译报错问题 zhouyunlu 2020-8-31 95781 JennyLi 2023-9-5 15:52
[原创] 在进行网表后仿的时候,如何选择性关闭在掉电或者上电的时候的timing check? 新人帖  ...2 Clancey 2020-10-20 155585 anpengfei 2020-12-9 16:55
[原创] UVM 源码 uvm_sequencer_base grant_queued_locks 新人帖 attach_img  ...23 许晴125 2021-12-10 215630 eaglezhang01 2022-2-24 10:51
[原创] 关于SystemC中 sc_event_finder 类的使用 digest asic_wang 2014-11-4 85479 744160354peter 2015-1-5 19:32
[原创] synopsys vcs求助  ...2 bruce384 2014-5-17 135405 keenforce001 2015-9-15 15:51
[原创] verification env demo v3 attachment  ...2 cofd0nut 2020-9-30 105322 steven_sun 2021-10-30 06:36
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-14 11:05 , Processed in 0.022371 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块