在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5714|回复: 9

[原创] uvm 编译报错问题

[复制链接]
发表于 2020-8-31 14:24:53 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x



ncvlog: *E,SVNOTY (../tb/tests/uart_seq_base.sv, 21|39): Syntactically this identifier appears to begin a datatype but it does not refer to a visible datatype in the current scope.
(`include file: (../tb/tests/uart_seq_base.sv  line 21,  file : ../tb/tests/uart_test_base.sv line 27)
`uvm_object_utils(uart_seq_base)

uart_seq_base中代码 21 行:class uart_seq_base extends uvm_sequence #(i_seq_item);

请问大佬们,这个错误可能是什么,找了很久没找到


发表于 2020-8-31 18:40:03 | 显示全部楼层
可能是上一个文件缺了标点符号啥的,这个文件没有问题,那就是上一个文件的问题
发表于 2020-8-31 21:53:43 | 显示全部楼层
In SystemVerilog syntax, if a datatype begins with an identifier,
        the identifier must refer to a type. Make sure that the typedef of the desired type
        is visible in that scope or that there are not multiple wild card import clauses
        importing typedefs of the same identifier name. The latter would make the use of
        a simple type name ambiguous; in that case, in order to disambiguate which type is
        desired you must provide a full type name of the form package_name::type_name.
发表于 2020-9-1 14:03:13 | 显示全部楼层
报错是说看不见i_seq_item???i_seq_item在哪儿定义的?编译过了吗?
发表于 2020-9-1 19:42:57 | 显示全部楼层
一般都是include,和直接在Makefile指定路径编译造成的问题,编译时UVM组件识别不了
发表于 2021-12-16 15:44:22 | 显示全部楼层
你这个问题解决没,是什么原因哦
发表于 2022-2-8 17:22:01 | 显示全部楼层
请问这个问题解决了吗?遇到同样的问题。。Syntactically this identifier appears to begin a datatype but it does not refer to a visible datatype in the current scope.
发表于 2022-2-9 14:17:51 | 显示全部楼层


诸葛小天 发表于 2020-9-1 19:42
一般都是include,和直接在Makefile指定路径编译造成的问题,编译时UVM组件识别不了 ...


那要怎么修改呢?
发表于 2022-2-9 19:38:14 | 显示全部楼层


翻滚的咸鱼 发表于 2022-2-8 17:22
请问这个问题解决了吗?遇到同样的问题。。Syntactically this identifier appears to begin a datatype bu ...


https://bbs.eetop.cn/thread-330836-1-1.html
你看这个帖子9楼的回答
发表于 2023-9-5 15:52:03 | 显示全部楼层
该文件的上一级文件中include的顺序有问题
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-4 08:07 , Processed in 0.031443 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表