在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3789|回复: 5

[讨论] 垮时钟域时序约束

[复制链接]
发表于 2012-5-24 13:53:33 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 lucien_1986 于 2012-5-24 15:15 编辑

最近在做一个项目的时候,用的是Xilinx Virtex6的FPGA-XC6VLX240T,由于设计的资源占用率比较高(Occupied Slices为89%),且工作在200M主频下,部分逻辑甚至工作在250M和350M时钟下,导致最终在ISE中实现的时候很难满足时序约束。尝试多种优化手段都无效,最终是放松了设计中的垮时钟域时序约束,才算跑出来了满足时序的版本。出现这个问题的原因是,ISE会根据对MMCM输入时钟的约束自动产生这个MMCM的多个输出时钟之间的时序约束,有些本来不需要那么严格约束的异步路径被过约束了,导致ISE实现困难。所以,在这里想和各位大牛讨论一下,该如何约束垮时钟域路径呢?

     我个人的观点是根据异步路径的性质,分别加以约束。
     详细观点,可阅读我的博文:
     http://blog.163.com/fpga_ip/blog/static/204443024201241182735568/
发表于 2012-5-24 13:59:52 | 显示全部楼层
89%,很难 通过约束来解决了。你可以看一下MMCM的约束方法
发表于 2012-5-24 14:11:56 | 显示全部楼层
I have the same question!
发表于 2012-5-24 16:57:31 | 显示全部楼层
跨时钟域的约束是不能放松的,楼主还是多多考虑其他的原因。
发表于 2012-5-27 23:44:58 | 显示全部楼层
我的想法是这样的,只对每个时钟域的时钟做约束,不同时钟域用fifo隔开,保证不同的时钟域相互不干扰,这样的话只需要约束每个时钟域的时钟即可,然后把不同时钟域的时钟做异步处理即可~!
发表于 2012-5-28 05:09:43 | 显示全部楼层
时钟之间应为false path, 设计里面注意clock cross domain.
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 10:29 , Processed in 0.026055 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表