|
马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。
您需要 登录 才可以下载或查看,没有账号?注册
x
tb_top.sv
import "DPI-C" function int proc(int din);
module tb_top;
int data;
initial begin
data = $urandom_range(0, 100);
data = proc(data);
end
endmodule
proc.c
int proc(int din) {
int data;
data = din + 100;
data = data * 10;
return data;
}
VCS命令行:
vcs -R tb_top.sv proc.c -full64 -debug_all -sverilog -cflags -g -gui
在proc.c中设置断点,结果报错:
Note-[CBUG-NOG] No debug information
The simulation stopped in function 'proc()', which has been compiled without
debug information (gcc flag -g). The source code cannot be displayed.
If possible, compile all C/C++ source code with debug info.
但是-g命令明明已经加了呀。 |
|