在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5235|回复: 3

modelsim仿lpm_rom出错,请指点

[复制链接]
发表于 2007-9-25 21:49:28 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
有一个8*512的hex文件,利用quartus ii 中的lpm_rom megacore得到了一个rom.vhd文件。在modelsim中编译了altera的lpm库和altera_mf库,用一个地址计数器和这个rom相连进行读数。编译时正常。但是在仿真一开始就出现下列错误



# ** Fatal: (vsim-3421) Value 512 is out of range 0 to 511.
#    Time: 0 ns  Iteration: 0  Process: /read_addr/u1/altsyncram_component/line__24892 File: D:/altera/quartus50/eda/sim_lib/altera_mf_87.vhd



当rom为8*1024时,错误就变为

# ** Fatal: (vsim-3421) Value 1024 is out of range 0 to 1023.
#    Time: 0 ns  Iteration: 0  Process: /read_addr/u1/altsyncram_component/line__24892 File: D:/altera/quartus50/eda/sim_lib/altera_mf_87.vhd



请问可能是什么原因?多谢指点!!
发表于 2007-9-25 23:00:20 | 显示全部楼层


原帖由 zhang_44 于 2007-9-25 21:49 发表
有一个8*512的hex文件,利用quartus ii 中的lpm_rom megacore得到了一个rom.vhd文件。在modelsim中编译了altera的lpm库和altera_mf库,用一个地址计数器和这个rom相连进行读数。编译时正常。但是在仿真一开始就 ...




没有看你的代码,不过从你的描述中,我猜测是不是你的ROM输入地址大于了定制的ROM地址范围?检查一下你的ROM地址计数器逻辑,另外需要注意的是ROM初始化文件的地址是否超过了ROM的地址范围?
 楼主| 发表于 2007-9-26 09:31:46 | 显示全部楼层
果然是这个问题,昨天调得脑袋都大了。多谢楼上的兄弟!!
发表于 2009-1-12 18:14:49 | 显示全部楼层
楼上兄弟怎么解决的啊 ?  能分享下吗?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-18 21:15 , Processed in 0.020755 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表