在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1684|回复: 2

仿真没出结果

[复制链接]
发表于 2007-9-18 16:36:55 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
module ch8(CLK_1M,Q7,Q8,W_en,SUM);   
         input CLK_1M;
         input [7:0]Q7;         
         output [7:0]Q8;
         output W_en;
         output SUM;

         wire [7:0]Q7;
         wire [7:0]Q8;        
         wire W_en;
         wire SUM;

//insert delay-------IP core 移位寄存器
shift D8 (
        .d(Q7), // Bus [7 : 0]
        .clk(CLK_1M),
        .q(Q8)); // Bus [7 : 0]

// fifo----W_en
  assign W_en =1;
  
// The combinational logic block  
  assign SUM = Q7+Q8;
endmodule


想把Q7延时一个单元得Q8,然后在求和。在仿真的时候,SUM为什么是一条线?
sum没数据.bmp
发表于 2007-9-18 17:20:25 | 显示全部楼层
wire SUM;
assign SUM = Q7+Q8;
你觉得sum应该等于几? 能等于0或1就不错了, 因为它只有1bit位宽.
 楼主| 发表于 2007-9-18 20:08:03 | 显示全部楼层
Yes,Thank you!
我实在太晕了,怎么犯了这样的错误。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-6 00:46 , Processed in 0.028664 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表