在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4002|回复: 8

[讨论] verilog组合逻辑里面的always@里面的写什么是不是不影响电路

[复制链接]
发表于 2016-7-25 17:11:07 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x

verilog组合逻辑里面的always@里面的写什么是不是不影响电路例如下面的红色部分!!!

//------------------------------------------------------------------
  always @(cc0_ff1or ccff or t2con_s)
  begin : cc0_fall_rise_proc
//------------------------------------------------------------------
    // default
    cc0_fall_rise = 1'b0 ;
   
    if ((t2con_s[6]) == 1'b1)
    begin      
      if ((ccff[0]) == 1'b1 & cc0_ff1== 1'b0)
      begin
        cc0_fall_rise = 1'b1 ;
      end
      else
      begin
        cc0_fall_rise = 1'b0 ;
      end
    end   
  end
//------------------------------------------------------------------

发表于 2016-7-25 18:07:28 | 显示全部楼层
当然影响,如果不写全所有下面表达式中的条件,会产生latch的
 楼主| 发表于 2016-7-25 20:09:46 | 显示全部楼层
回复 2# richardxingxing


   可是为什么会产生锁存器呀!我只知道case没有写default和if没有else 会产生锁存器。
发表于 2016-7-25 21:31:45 | 显示全部楼层
仿真结果和实际电路会mismatch
发表于 2016-7-26 09:01:23 | 显示全部楼层
最好写成always@(*)就包括所有变量了。
至于生成锁存器,是因为,比如你的always块里有个out=c,但always的敏感信号表里没有c;当c变化时,out不会变(因为c不在敏感列表里),还保持上一个值,就是latch了。
发表于 2016-7-26 10:56:06 | 显示全部楼层
楼上说的对。
 楼主| 发表于 2016-7-27 09:49:40 | 显示全部楼层
回复 5# haimo


   真棒!!!
 楼主| 发表于 2016-7-27 09:51:44 | 显示全部楼层
回复 4# dyytx
仿佛懂了,哈哈。
发表于 2016-7-27 14:30:20 | 显示全部楼层
其实楼主的标题是对的,always@() 里面写什么对综合出来的电路没有任何影响(不能为空,否则报错),也不会生成latch,综合工具是严格按照块内表达式创建组合逻辑,与敏感列表无关(会报warning),敏感列表不完整的问题是会前后仿真不一致,所以对于组合逻辑最好写always@(*)
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-3 06:24 , Processed in 0.038596 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表