在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2879|回复: 13

[讨论] FPGA问题求助

[复制链接]
发表于 2016-4-5 20:58:37 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
时钟只跑20M,同一个ip在别的项目使用没有问题,但在一个项目FPGA验证中发现问题,抓信号发现给该ip的输入都是对的,抓到的该ip部分响应状态机不对,一个状态本来维持两周期,变成一周期了,用chipscope抓信号,查不出原因,怀疑是precision综合器将ip逻辑优化出问题了,目前是用precision综合网表后再用ise布局布线,求助高手帮我分析下
 楼主| 发表于 2016-4-5 21:06:51 | 显示全部楼层
目前可以确定是状态机跳转条件早一周期满足,但是顺这条线索追查,推出输入又是对的,一时无措
发表于 2016-4-5 22:04:51 | 显示全部楼层
代码贴出来呀   代码可能行大;
直接用他自己的综合工具综合一下呢?
 楼主| 发表于 2016-4-6 05:46:34 | 显示全部楼层

标题

回复 3# lhlhualin
代码量很大,ise综不过
发表于 2016-4-6 10:30:53 | 显示全部楼层
用其他的综合工具synpilfy试试,什么ip
发表于 2016-4-6 10:35:07 | 显示全部楼层
同步设计还是异步设计,时序约束加的对吗
 楼主| 发表于 2016-4-6 11:37:44 | 显示全部楼层
同步设计,约束加了,目前通过chipscope判断给ip的输入是对的,但经过一堆组合逻辑后可能釆错了,(ps使用资源将近70%),有什么办法可以处理下?
 楼主| 发表于 2016-4-6 11:39:14 | 显示全部楼层
可能是布线引起的,有没有什么方法处理下,如将16位宽的信号等长布线,或加一些约束?
 楼主| 发表于 2016-4-7 08:10:46 | 显示全部楼层
求助高手
 楼主| 发表于 2016-4-7 18:46:51 | 显示全部楼层
是不是要进行组合逻辑延迟的约束
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-11 12:39 , Processed in 0.028311 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表