在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
123
返回列表 发新帖
楼主: xm900626

[求助] vcs编译vivado库问题

[复制链接]
发表于 2016-5-20 16:42:02 | 显示全部楼层
大神们,我现在也遇到这样的问题,我把vivado的生成的ip_example移植到NCveriog里面仿真,也是先做了compile_lib -simulator ies,确定生成了lib文件和cds.lib文件,然后在vivado环境里面是可以跑NC的。然而我把这些lib放到NC环境里面,在irun 后面加了 -cdslib cds.lib,把cds.lib文件放在NC环境目录下,竟然吃不进去,后面的仿真也就无从谈起了。大神有知道怎么加这些vivado编译的库的吗?
发表于 2016-5-20 16:50:51 | 显示全部楼层
回复 12# xm900626
你好,
     我最近也在用FPGA做这样的设计,不过我是用的Incisive仿真的,也是vivado生成的IP拿到外部环境仿真,我先做了compile_simlib -simulator ies ,然后生成了几个库文件和cds.lib,我把这个cds.lib加到NC环境中去,irun -cdslib cds.lib,然后仿真,发现这些lib就没吃进去,我不知道该怎么做了,还是需要别的文件呢,大神指教啊
发表于 2016-5-20 16:54:57 | 显示全部楼层
回复 14# xm900626


    老师你好,
    我现在也遇到这样的问题,我把vivado的生成的ip_example移植到NCveriog里面仿真,也是先做了compile_lib -simulator ies,确定生成了lib文件和cds.lib文件,然后在vivado环境里面是可以跑NC的。然而我把这些lib放到NC环境里面,在irun 后面加了 -cdslib cds.lib,把cds.lib文件放在NC环境目录下,竟然吃不进去,后面的仿真也就无从谈起了。大神有知道怎么加这些vivado编译的库的吗?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-18 16:01 , Processed in 0.018271 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表