|
楼主 |
发表于 2015-1-30 09:55:21
|
显示全部楼层
比如Xilinx\Vivado\2014.1\data\ip\xilinx\xfft_v9_0\hdl\max2_2.vhd是这样的:
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
DBKJW6AAYEyQeYvp+xXm0FZqTAUIe4etpxcsTGeOQmSrPs5cvp0EiZssG2f5h05rg7lxXuIbRv5x
sthvt18kBw==
………………………………
CywpzCvuCHpeO4ovfW45M3Y8WGDHSd4sGB6ApqSDeWE2+JDSMztZeaFjoPXdM4Xr5A==
`protect end_protected
可还原为:
library ieee;
use ieee.std_logic_1164.all;
entity max2_2 is
port (
a : in std_logic_vector(1 downto 0) := (others => '0');
b : in std_logic_vector(1 downto 0) := (others => '0');
o : out std_logic_vector(1 downto 0) := (others => '0'));
end max2_2;
architecture xilinx of max2_2 is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of xilinx : architecture is "yes";
begin
o <= a when a > b else b;
end architecture xilinx; |
|