在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2068|回复: 7

[求助] 关于一个移位操作电路的实现

[复制链接]
发表于 2014-12-29 21:53:29 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
入门菜鸟求大神们指教
1:现在想得到这样一个移位操作的电路(4bit输出):输出初始状态要求是0001,为时钟上升沿触发,后面每来一个上升沿,输出变化为0010,0100,1000,0001,0010,0100.......时钟低电平时,保持当前状态。本人对verilog不懂,请教怎么写RTL。
2:我也找到一个电路,可以实现上述功能(见图),在这样的电路图中,怎样才能使初始状态是0001呢?好像不能直接给最上面的寄存器高电平呀
3.png
发表于 2014-12-30 18:49:10 | 显示全部楼层
就这个电路可以,初始的1靠置位端,其他三个是复位端。
{ff_reg3,ff_reg2,ff_reg1,ff_reg0} <= {ff_reg2,ff_reg1,ff_reg0,ff_reg3};
发表于 2014-12-31 10:15:01 | 显示全部楼层
May be you can try it. The example shows below.
Your diagram dosen't shows rest signal therefore the register can't be set an initial value.
I hope that can help you.


Example:

reg [3:0] data_reg;

always @(posedge CLK or negedge RSTn_)
  if(~RSTn_)
     data_reg <= 4'b0001;
  else
     data_reg <= data_reg << 1;
发表于 2014-12-31 10:18:58 | 显示全部楼层
always @(posedge CLK or negedge RSTn_)
  if(~RSTn_)
     data_reg <= 4'b0001;
  else
    data_reg <= data_reg << 1;
发表于 2014-12-31 10:29:10 | 显示全部楼层
学习下。。。
发表于 2014-12-31 14:32:09 | 显示全部楼层
回复 1# hgd1505570

always @ (posedge clk or negedge rst)
begin
    if (!rst) begin
        Q <= 4'b0001;
    end
    else begin
        Q <= {Q[2:0], Q[3]};
    end
end
发表于 2014-12-31 15:11:41 | 显示全部楼层
不少大侠误人子弟来了
发表于 2015-1-1 21:42:11 | 显示全部楼层
6楼正解
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 06:44 , Processed in 0.036270 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表