在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: caoshangfei

[解决] INCISIV 13.10.001 安装文件和crack破解(NCsim13.1,IES13.1 , NC-verilog13.1)

[复制链接]
发表于 2015-5-16 11:21:13 | 显示全部楼层
学习了。。。谢谢LZ
发表于 2015-5-21 04:10:27 | 显示全部楼层
希望能成功
发表于 2015-5-21 10:28:20 | 显示全部楼层
学习学习
发表于 2015-5-26 21:42:11 | 显示全部楼层
感谢楼主!
发表于 2015-6-6 08:58:37 | 显示全部楼层
學習的好東西,謝謝前輩分享~
发表于 2015-6-7 10:15:42 | 显示全部楼层
非常感谢
发表于 2015-7-8 14:26:16 | 显示全部楼层
谢谢楼主分享!
发表于 2015-7-9 11:40:57 | 显示全部楼层
到这一步就出错了“installer/iscape/bin/iscape.sh”,Installscapte运行不了
发表于 2015-7-9 11:41:53 | 显示全部楼层
到这一步就出错了“installer/iscape/bin/iscape.sh”,Installscapte运行不了,求楼主解答呀!
发表于 2015-7-10 11:56:03 | 显示全部楼层
楼主,本人之前没装过incisiv,因此卡在了最后一步“9. 配置.tcshrc文件, 复制之前的ius920的配置”,不知道怎么配置,不知道可不可以上传ius920的配置,在此先谢过!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-22 04:00 , Processed in 0.020778 second(s), 5 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表