在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4501|回复: 8

[求助] 为什么在PT中报出的路径在ICC中找不到呢?求助

[复制链接]
发表于 2014-3-3 16:00:04 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
刚刚看到一个很奇怪的问题,就是在PT中报告出一条路径,我在ICC中用相同的start和end point去report,却报告说no paths,是ICC哪里弄错了吗?
 楼主| 发表于 2014-3-3 18:42:48 | 显示全部楼层
回复 1# zhouweiscut


    现在的情况是这样的,相同的路径在DCT中也可以报出来的,用DDC传给ICC后,在ICC中打开做个init design就已经报不出来这个路径了,到底是错在哪里了呢???
发表于 2014-3-4 14:11:59 | 显示全部楼层
report 呢
发表于 2014-3-5 09:33:00 | 显示全部楼层
can you show the report and let us check this issues?
 楼主| 发表于 2014-3-5 23:54:46 | 显示全部楼层
本帖最后由 zhouweiscut 于 2014-3-5 23:57 编辑

回复 3# zero_0


    回复 4# wlf204


    ****************************************
Report : timing
        -path full
        -delay max
        -max_paths 1
Design : cluster_6
Version: I-2013.12
Date   : Wed Mar  5 23:55:06 2014
****************************************

* Some/all delay information is back-annotated.

Operating Conditions: NCCOM   Library: tcbn65gpluslvttc_ccs

  Startpoint: procA_inst_reg_addr_reg_1_
              (rising edge-triggered flip-flop clocked by clk)
  Endpoint: procB_inst_wrap_inst_fifo_inst_mem_inst_clk_gate_inst6_u_ICG
            (gating element for clock clk)
  Path Group: clk
  Path Type: max

  Point                                                   Incr       Path
  --------------------------------------------------------------------------
  clock clk (rise edge)                                   0.00       0.00
  clock network delay (ideal)                             0.10       0.10
  procA_inst_reg_addr_reg_1_/CP (EDFCNQD2LVT)
                                                          0.00       0.10 r
  procA_inst_reg_addr_reg_1_/Q (EDFCNQD2LVT)
                                                          0.10       0.20 r
  U78036/ZN (IND2D4LVT)                                   0.02 *     0.22 f
  U68345/ZN (NR3D8LVT)                                    0.02 *     0.24 r
  U137851/ZN (ND2D4LVT)                                   0.02 *     0.26 f
  U6907/ZN (CKND8LVT)                                     0.02 *     0.28 r
  U6913/ZN (CKND16LVT)                                    0.02 *     0.30 f
  U170200/ZN (NR2XD3LVT)                                  0.02 *     0.33 r
  U170203/Z (AO22D2LVT)                                   0.04 *     0.36 r
  inner_node_000_inst_U34/Z (CKMUX2D1)                    0.04 *     0.41 r
  U33094/Z (BUFFD8LVT)                                    0.04 *     0.45 r
  U6065/Z (MUX2D4LVT)                                     0.05 *     0.50 r
  U166450/Z (CKBD16LVT)                                   0.04 *     0.54 r
  U187262/Z (MUX2D4LVT)                                   0.06 *     0.61 r
  U141638/Z (CKBD16LVT)                                   0.04 *     0.65 r
  U36655/ZN (ND2D4LVT)                                    0.05 *     0.70 f
  U71349/ZN (ND2D8LVT)                                    0.03 *     0.73 r
  U187329/Z (AN2D4)                                       0.05 *     0.78 r
  U78106/Z (AN2D4LVT)                                     0.03 *     0.81 r
  U117485/ZN (ND2D1LVT)                                   0.02 *     0.83 f
  U96875/ZN (CKND2LVT)                                    0.02 *     0.85 r
  U97005/ZN (ND2D3LVT)                                    0.02 *     0.87 f
  U97180/ZN (NR2XD1LVT)                                   0.05 *     0.92 r
  U197807/Z (BUFFD2LVT)                                   0.04 *     0.96 r
  U197808/ZN (CKND2LVT)                                   0.02 *     0.98 f
  U97291/ZN (ND2D1LVT)                                    0.02 *     1.01 r
  procB_inst_wrap_inst_fifo_inst_mem_inst_clk_gate_inst6_u_ICG/E (CKLNQD4LVT)
                                                          0.00 *     1.01 r
  data arrival time                                                  1.01

  clock clk (rise edge)                                   1.11       1.11
  clock network delay (ideal)                             0.10       1.21
  clock uncertainty                                      -0.15       1.06
  procB_inst_wrap_inst_fifo_inst_mem_inst_clk_gate_inst6_u_ICG/CP (CKLNQD4LVT)
                                                          0.00       1.06 r
  clock gating setup time                                -0.05       1.01
  data required time                                                 1.01
  --------------------------------------------------------------------------
  data required time                                                 1.01
  data arrival time                                                 -1.01
  --------------------------------------------------------------------------
  slack (MET)                                                        0.01

这个是在DCT中给出的报告,之后把DCT的ddc sdc给ICC后从ICC中往后面做,做完之后report timing,相同的路径报了No paths
发表于 2014-3-6 09:06:21 | 显示全部楼层
用timing analysis window可以么?
发表于 2014-3-6 10:55:49 | 显示全部楼层
ICC 有对 clock gating check 做什么 special setting 吗
report 一下以 "procB_inst_wrap_inst_fifo_inst_mem_inst_clk_gate_inst6_u_ICG/E" 为 end point 的所有的 path
 楼主| 发表于 2014-3-6 19:04:07 | 显示全部楼层
回复 7# zero_0

问题找到了,是由于ICC自动断了一些arc去break loop正好断到了报告的路径,现在的问题在
   http://bbs.eetop.cn/thread-438716-1-1.html
 楼主| 发表于 2014-3-6 19:04:45 | 显示全部楼层
回复 6# lilyzhong


   问题找到了,是由于ICC自动断了一些arc去break loop正好断到了报告的路径,现在的问题在

http://bbs.eetop.cn/thread-438716-1-1.html
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 20:47 , Processed in 0.038133 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表