在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1135|回复: 0

[求助] 在XILINX中想把Multi-function pins 当做 user I/O时是否需要进行设置。

[复制链接]
发表于 2014-3-3 15:33:51 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请问各位大侠,在XILINX中想把Multi-function pins  当做 user I/O时是否需要进行设置。

XILINX的文档中相关描述:
Multi-function pins are labelled IO_LXXY_ZZZ_#, where ZZZ represents one ormore of the following functions in addition to being general purpose user I/O.
If not used for their special function, these pins can be user I/O.



补充一下,目前在做一个DDR2的项目,通过Xilinx的MIG自动分配DDR2的管脚时,将一根地址脚ADDR4分配到了一个bank上的Vref上。现在疑惑的是,bank上一般有两个vref脚,其中一个作为参考电压接0.9v,另外一个作为普通IO使用,那这个Bank识别高低电平的时候是否会出问题。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-3 15:18 , Processed in 0.066301 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表