在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3523|回复: 6

[求助] icc cts , clock tree level 太深

[复制链接]
发表于 2013-12-27 19:58:18 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
小弟新用icc, 做了一个partition, 不大,最大的clock tree也只有13000个sink。可是cts后最大的clock tree的level达到了50级。是multi scenario模式做的。可能是那个设置有问题?
set_inter_clock_delay_options -target_delay_clock $clock_name
icc cts的时候是不会理会sdc 里面的set_clock_latency的吧?
发表于 2013-12-27 21:21:32 | 显示全部楼层
恩  guide里说是不考虑的
是不是电路结构不合理,fanout太高?
或者clock line的transition cap或者min insertion delay设定太严格?
 楼主| 发表于 2013-12-28 17:35:13 | 显示全部楼层
我试着把set_clock_tree_options -max_transition 的值调整变大,效果不好。
另外我没看到那里有定义min insertion delay,好像也不是这个问题
 楼主| 发表于 2013-12-28 18:25:14 | 显示全部楼层
不同clock domain之间的flase path没设置,会不会有影响啊?
发表于 2013-12-28 19:29:04 | 显示全部楼层
回复 4# 王不动


   会有影响。
发表于 2013-12-30 09:51:36 | 显示全部楼层
false path應該對CTS沒有影響吧?

除了skew, trans, cap的設置外
CTS主要看以下setting (以Synopsys的sdc為例):
1. create_clock, create_generate_clock
2. sync pin, ignore pin
3. case analysis, multiple clock

如果會長到50級,
我認為要看看clock是否有convergent或overlap

或者是一開始tree的結構就是長短腳
 楼主| 发表于 2013-12-30 10:30:57 | 显示全部楼层
一开始的tree是有点长短脚,remove_clock_tree之后,level2有一些sink,然后在level 11,也是最后的地方有一些sink。最终这个clock 做出来有38级,中间应该有convergent,从2-11级的中间看到有4个mux
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-4 07:12 , Processed in 0.031976 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表