在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2651|回复: 5

[求助] assertion 问题

[复制链接]
发表于 2013-11-24 17:57:03 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 yangkaiqu 于 2013-11-24 18:00 编辑

本人才开始学习assertion,今天编写一个assertion的时候,老是编译通不过,具体代码如下:

`timescale 1ns / 1ns
module ldo_1p8_assertion(
    input       ldo_pd,
    input       rstb_3v,
    input   real    ldo_out
    );
parameter delay_ldo_pd_check = 5;
reg sample_clk;
always  #100  sample_clk  = ~ sample_clk;
initial begin
    sample_clk = 1'b0;
end
        
property ldo_pd_assert;
@(posedge sample_clk )
   
    (rstb_3v) |->
    if (ldo_pd)   
    ( ##delay_ldo_pd_check (ldo_out < 0.005))
   else
    ( ##delay_ldo_pd_check ((ldo_out > 1.795) && (ldo_out < 1.805)));

endproperty

ldo_pd: assert property (ldo_pd_assert)
            else  
            $display("Assertion ERROR: the ldo_pd_assert has been failed at the time @%t",$time);
   cover_ldo_pd_assert: cover property (ldo_pd_assert);

endmodule

用irun 来进行编译的时候,老是报错:
file: ldo_1p8_assertion.sv
    if (ldo_pd)   
             |
ncvlog: *E,BOOLOP (ldo_1p8_assertion.sv,23|13): Expected a verilog expression as operand to property if/else operator.
        module worklib.ldo_1p8_assertion:sv
                errors: 1, warnings: 0

这是怎么回事啊?更改了代码好多遍,试验了好多次,都要报错。

急求高人指点啊。。。。。。。
发表于 2013-11-24 20:31:03 | 显示全部楼层
你的if别写在里面啊, 他们认不出来啊, 试试:
if (ldo_pd)   
   (rstb_3v)  |->  ( ##delay_ldo_pd_check (ldo_out < 0.005))
else
   (rstb_3v)  |->  ( ##delay_ldo_pd_check ((ldo_out > 1.795) && (ldo_out < 1.805)));
发表于 2013-11-24 21:08:05 | 显示全部楼层
##delay_ldo_pd_check 放到if/else 前面去
 楼主| 发表于 2013-11-25 13:55:08 | 显示全部楼层
回复 3# spirit0302


    如果把延迟放到前面去,功能就有点变化了。
 楼主| 发表于 2013-11-25 13:55:53 | 显示全部楼层
回复 2# loglong


    还是不行。
 楼主| 发表于 2013-11-25 13:58:50 | 显示全部楼层
回复 2# loglong


    用括号把if else里面的括起来,就可以了,谢谢啦!!!!!!!!!!太感谢了!!!!!!!!!!!!!!!!!!!!!!!!!!!!!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 22:48 , Processed in 0.026159 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表