在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 6298|回复: 0

[求助] 异步复位同步释放,对复位信号的约束问题

[复制链接]
发表于 2013-8-22 14:46:41 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
异步复位同步释放代码
module arst_syn (
   input wire clk,
   input wire arst,
   output reg rst_syn
);
reg rff1;
    always @(posedge clk or negedge arst)
    begin:rst_syn_block
      if (!arst) begin
        {rst_syn,rff1} <= 2'b0;
      end
      else begin
        {rst_syn,rff1} <= {rff1,1'b1};
      end
    end
endmodule


我的core代码
/*--------------------------------------------------
*--------------------------------------------------*/
module core (
           input wire clk,
      input wire rst_n,
input wire dvalid,
input wire [2:0] data_i,
output wire [2:0] d0h
     );
  wire rst_syn;
arst_syn M_arst_syn(
  .clk(clk),
  .arst(rst_n),
  .rst_syn(rst_syn)
);

  get_samp_value M_get_samp_value
(
  .clk(clk),
  .rst_n(rst_syn),
.dvalid_i(dvalid),
.data_i(data_i),
  .d0h_o(d0h)
);
         
endmodule

对于此core的复位,有以下两个约束,那个合适哪
1对rst_syn 设置成set_ideal network
set_ideal_latency 4.0 [get_ports rst_n]
set_ideal_transition 2.0 [get_ports rst_n]
set_ideal_network -no_propagate [get_net M_arst_syn/rst_syn]

2 对 rst_n设置成set_ideal_network
set_ideal_network [get_ports rst_n]

还是有其他更好的哪
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-26 10:58 , Processed in 0.082875 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表